=============================================================================== About this build: this rebuild has been done as part of reproduce.debian.net where we aim to reproduce Debian binary packages distributed via ftp.debian.org, by rebuilding using the exact same packages as the original build on the buildds, as described in the relevant .buildinfo file from buildinfos.debian.net. For more information please go to https://reproduce.debian.net or join #debian-reproducible on irc.debian.org =============================================================================== Preparing download of sources for /srv/rebuilderd/tmp/rebuilderd503pmW/inputs/ghdl_5.0.1+dfsg-1+b1_ppc64el.buildinfo Source: ghdl Version: 5.0.1+dfsg-1 rebuilderd-worker node: osuosl7-ppc64el +------------------------------------------------------------------------------+ | Downloading sources Sun, 21 Sep 2025 15:19:39 +0000 | +------------------------------------------------------------------------------+ Get:1 https://deb.debian.org/debian trixie InRelease [140 kB] Get:2 https://deb.debian.org/debian sid InRelease [216 kB] Get:3 https://deb.debian.org/debian trixie/main Sources [10.5 MB] Get:4 https://deb.debian.org/debian sid/main Sources [11.1 MB] Fetched 22.0 MB in 3s (8,110 kB/s) Reading package lists... 'https://deb.debian.org/debian/pool/main/g/ghdl/ghdl_5.0.1%2bdfsg-1.dsc' ghdl_5.0.1+dfsg-1.dsc 3065 SHA256:8110a75ef8bd37f72591233932ccad2266688084864e62d3b05eee15cc6cdfd6 'https://deb.debian.org/debian/pool/main/g/ghdl/ghdl_5.0.1%2bdfsg.orig.tar.xz' ghdl_5.0.1+dfsg.orig.tar.xz 4996848 SHA256:aa1764e1104c77bac5a4cf2c438ece5c2a1b20e0424f8222ce6c14220ddb02c5 'https://deb.debian.org/debian/pool/main/g/ghdl/ghdl_5.0.1%2bdfsg-1.debian.tar.xz' ghdl_5.0.1+dfsg-1.debian.tar.xz 32524 SHA256:d13aecc56c7593a7e2d1bfe6927928f6491feb3061239db68f4a6742cc3e418b aa1764e1104c77bac5a4cf2c438ece5c2a1b20e0424f8222ce6c14220ddb02c5 ghdl_5.0.1+dfsg.orig.tar.xz d13aecc56c7593a7e2d1bfe6927928f6491feb3061239db68f4a6742cc3e418b ghdl_5.0.1+dfsg-1.debian.tar.xz 8110a75ef8bd37f72591233932ccad2266688084864e62d3b05eee15cc6cdfd6 ghdl_5.0.1+dfsg-1.dsc +------------------------------------------------------------------------------+ | Calling debrebuild Sun, 21 Sep 2025 15:19:42 +0000 | +------------------------------------------------------------------------------+ Rebuilding ghdl=5.0.1+dfsg-1 in /srv/rebuilderd/tmp/rebuilderd503pmW/inputs now. +------------------------------------------------------------------------------+ | WARNING: calling debrebuild without --cache, hammering snapshot.d.o :( | +------------------------------------------------------------------------------+ + nice /usr/bin/debrebuild --buildresult=/srv/rebuilderd/tmp/rebuilderd503pmW/out --builder=sbuild+unshare -- /srv/rebuilderd/tmp/rebuilderd503pmW/inputs/ghdl_5.0.1+dfsg-1+b1_ppc64el.buildinfo /srv/rebuilderd/tmp/rebuilderd503pmW/inputs/ghdl_5.0.1+dfsg-1+b1_ppc64el.buildinfo contains a GPG signature which has NOT been validated Using defined Build-Path: /build/reproducible-path/ghdl-5.0.1+dfsg I: verifying dsc... successful! Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid InRelease [205 kB] Get:2 http://snapshot.debian.org/archive/debian/20250409T024945Z sid InRelease [205 kB] Get:3 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el Packages [9929 kB] Get:4 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el Packages [9965 kB] Fetched 20.3 MB in 7s (3081 kB/s) Reading package lists... W: http://snapshot.debian.org/archive/debian/20250328T083810Z/dists/sid/InRelease: Loading /etc/apt/trusted.gpg from deprecated option Dir::Etc::Trusted W: http://snapshot.debian.org/archive/debian/20250409T024945Z/dists/sid/InRelease: Loading /etc/apt/trusted.gpg from deprecated option Dir::Etc::Trusted Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libidn2-0 ppc64el 2.3.8-2 [112 kB] Fetched 112 kB in 1s (206 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpz90n3at_/libidn2-0_2.3.8-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el libmagic1t64 ppc64el 1:5.46-4 [116 kB] Fetched 116 kB in 1s (88.9 kB/s) dpkg-name: info: moved 'libmagic1t64_1%3a5.46-4_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp5wqr094a/libmagic1t64_5.46-4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libxml-sax-base-perl all 1.09-3 [20.6 kB] Fetched 20.6 kB in 1s (40.7 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp58zzrv99/libxml-sax-base-perl_1.09-3_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el python3-pygments all 2.18.0+dfsg-2 [836 kB] Fetched 836 kB in 1s (1581 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpffw7xh6t/python3-pygments_2.18.0+dfsg-2_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el base-files ppc64el 13.7 [73.0 kB] Fetched 73.0 kB in 1s (138 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpjrefun7b/base-files_13.7_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libperl5.40 ppc64el 5.40.1-2 [4290 kB] Fetched 4290 kB in 2s (2149 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp861li07m/libperl5.40_5.40.1-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgssapi-krb5-2 ppc64el 1.21.3-5 [152 kB] Fetched 152 kB in 1s (288 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp63osbp79/libgssapi-krb5-2_1.21.3-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el ncurses-base all 6.5+20250216-2 [273 kB] Fetched 273 kB in 1s (526 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpkf83k0bq/ncurses-base_6.5+20250216-2_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libseccomp2 ppc64el 2.6.0-2 [58.7 kB] Fetched 58.7 kB in 0s (302 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpj3_wrlt7/libseccomp2_2.6.0-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el sensible-utils all 0.0.24 [24.8 kB] Fetched 24.8 kB in 1s (47.3 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp9rrd9cil/sensible-utils_0.0.24_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libssl3t64 ppc64el 3.4.1-1 [2362 kB] Fetched 2362 kB in 2s (1008 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpr5zg0y4v/libssl3t64_3.4.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el tex-common all 6.19 [29.4 kB] Fetched 29.4 kB in 1s (50.7 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp09w3r2sb/tex-common_6.19_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el tzdata all 2025b-1 [259 kB] Fetched 259 kB in 0s (9248 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpxi3g0gxt/tzdata_2025b-1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libtool all 2.5.4-4 [539 kB] Fetched 539 kB in 1s (1010 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp8n_tb85h/libtool_2.5.4-4_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libbinutils ppc64el 2.44-3 [614 kB] Fetched 614 kB in 1s (1060 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpyf4n7eeg/libbinutils_2.44-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gnat-14-powerpc64le-linux-gnu ppc64el 14.2.0-19 [17.6 MB] Fetched 17.6 MB in 3s (5200 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpboaqho_b/gnat-14-powerpc64le-linux-gnu_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgcc-14-dev ppc64el 14.2.0-19 [1409 kB] Fetched 1409 kB in 2s (668 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpaxddql77/libgcc-14-dev_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libz3-dev ppc64el 4.13.3-1 [107 kB] Fetched 107 kB in 1s (202 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmprxr05obi/libz3-dev_4.13.3-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libzstd1 ppc64el 1.5.7+dfsg-1 [318 kB] Fetched 318 kB in 0s (1323 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp_04p3_5i/libzstd1_1.5.7+dfsg-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el zlib1g-dev ppc64el 1:1.3.dfsg+really1.3.1-1+b1 [919 kB] Fetched 919 kB in 1s (1709 kB/s) dpkg-name: info: moved 'zlib1g-dev_1%3a1.3.dfsg+really1.3.1-1+b1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpd__h0koo/zlib1g-dev_1.3.dfsg+really1.3.1-1+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el llvm-19-tools ppc64el 1:19.1.7-3 [557 kB] Fetched 557 kB in 1s (1048 kB/s) dpkg-name: info: moved 'llvm-19-tools_1%3a19.1.7-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmplqgkl1fw/llvm-19-tools_19.1.7-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gcc-14-powerpc64le-linux-gnu ppc64el 14.2.0-19 [17.9 MB] Fetched 17.9 MB in 0s (52.4 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp5nezikc2/gcc-14-powerpc64le-linux-gnu_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libctf-nobfd0 ppc64el 2.44-3 [168 kB] Fetched 168 kB in 1s (316 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpi96sec9v/libctf-nobfd0_2.44-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libffi8 ppc64el 3.4.7-1 [23.7 kB] Fetched 23.7 kB in 0s (106 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpepj78t26/libffi8_3.4.7-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libisl-dev ppc64el 0.27-1 [981 kB] Fetched 981 kB in 0s (2083 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp1fmcnpf_/libisl-dev_0.27-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el debhelper all 13.24.2 [919 kB] Fetched 919 kB in 0s (3869 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp9l82yrin/debhelper_13.24.2_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el intltool-debian all 0.35.0+20060710.6 [22.9 kB] Fetched 22.9 kB in 1s (44.5 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpwye04qah/intltool-debian_0.35.0+20060710.6_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el dash ppc64el 0.5.12-12 [104 kB] Fetched 104 kB in 0s (474 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpaxh7zwv6/dash_0.5.12-12_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gcc-14-source all 14.2.0-19 [92.1 MB] Fetched 92.1 MB in 1s (164 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpi8x50ktr/gcc-14-source_14.2.0-19_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el texinfo-lib ppc64el 7.1.1-1+b1 [237 kB] Fetched 237 kB in 1s (456 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpvh3rd5ve/texinfo-lib_7.1.1-1+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libctf0 ppc64el 2.44-3 [100 kB] Fetched 100 kB in 1s (194 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmplv7mn5bl/libctf0_2.44-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libmount1 ppc64el 2.40.4-5 [223 kB] Fetched 223 kB in 1s (417 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpa4rxx0ko/libmount1_2.40.4-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libnettle8t64 ppc64el 3.10.1-1 [329 kB] Fetched 329 kB in 1s (560 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpi1wplgcy/libnettle8t64_3.10.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el linux-libc-dev all 6.12.20-1 [2539 kB] Fetched 2539 kB in 0s (50.0 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp7vtgg11o/linux-libc-dev_6.12.20-1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el g++-powerpc64le-linux-gnu ppc64el 4:14.2.0-1 [1208 B] Fetched 1208 B in 0s (5248 B/s) dpkg-name: info: moved 'g++-powerpc64le-linux-gnu_4%3a14.2.0-1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpmcz6_xvu/g++-powerpc64le-linux-gnu_14.2.0-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libaudit1 ppc64el 1:4.0.2-2+b2 [59.8 kB] Fetched 59.8 kB in 1s (116 kB/s) dpkg-name: info: moved 'libaudit1_1%3a4.0.2-2+b2_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpzv6692mc/libaudit1_4.0.2-2+b2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el binutils-powerpc64le-linux-gnu ppc64el 2.44-3 [851 kB] Fetched 851 kB in 1s (1553 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmplqiog059/binutils-powerpc64le-linux-gnu_2.44-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el liblsan0 ppc64el 14.2.0-19 [1202 kB] Fetched 1202 kB in 1s (2165 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpflt8d4zc/liblsan0_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el bash ppc64el 5.2.37-1.1+b1 [1529 kB] Fetched 1529 kB in 2s (881 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpjgy45sxk/bash_5.2.37-1.1+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el hostname ppc64el 3.25 [11.3 kB] Fetched 11.3 kB in 1s (21.4 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp2whytzox/hostname_3.25_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el libpython3.13-stdlib ppc64el 3.13.2-3 [1958 kB] Fetched 1958 kB in 2s (959 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpu729d3o5/libpython3.13-stdlib_3.13.2-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el util-linux ppc64el 2.40.4-5 [1223 kB] Fetched 1223 kB in 2s (545 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp6kq5cqz1/util-linux_2.40.4-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el bzip2 ppc64el 1.0.8-6 [41.1 kB] Fetched 41.1 kB in 1s (78.6 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpnq6gxgxy/bzip2_1.0.8-6_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el g++ ppc64el 4:14.2.0-1 [1340 B] Fetched 1340 B in 0s (6651 B/s) dpkg-name: info: moved 'g++_4%3a14.2.0-1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp6zb9c63s/g++_14.2.0-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libsqlite3-0 ppc64el 3.46.1-2 [957 kB] Fetched 957 kB in 1s (1773 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpduhu5wf5/libsqlite3-0_3.46.1-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libmpc3 ppc64el 1.3.1-1+b3 [56.0 kB] Fetched 56.0 kB in 1s (106 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmppgu10bq_/libmpc3_1.3.1-1+b3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el sysvinit-utils ppc64el 3.14-4 [36.1 kB] Fetched 36.1 kB in 1s (62.4 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpvfokud3q/sysvinit-utils_3.14-4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el diffstat ppc64el 1.67-1 [36.6 kB] Fetched 36.6 kB in 1s (36.3 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpitcqpgq0/diffstat_1.67-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el base-passwd ppc64el 3.6.7 [55.0 kB] Fetched 55.0 kB in 0s (239 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp1bqf6hxn/base-passwd_3.6.7_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libarchive-zip-perl all 1.68-1 [104 kB] Fetched 104 kB in 0s (4200 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpfgac4o6s/libarchive-zip-perl_1.68-1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el binutils ppc64el 2.44-3 [69.1 kB] Fetched 69.1 kB in 1s (131 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpgrwfuju_/binutils_2.44-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libpfm4 ppc64el 4.13.0+git99-gc5587f9-1 [159 kB] Fetched 159 kB in 2s (97.5 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpg6_t3j27/libpfm4_4.13.0+git99-gc5587f9-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libncursesw6 ppc64el 6.5+20250216-2 [152 kB] Fetched 152 kB in 1s (261 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpemf43mmh/libncursesw6_6.5+20250216-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el clang ppc64el 1:19.0-63 [6244 B] Fetched 6244 B in 0s (29.9 kB/s) dpkg-name: info: moved 'clang_1%3a19.0-63_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpye_mf05l/clang_19.0-63_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libuuid1 ppc64el 2.40.4-5 [37.7 kB] Fetched 37.7 kB in 1s (71.9 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpqpea5gup/libuuid1_2.40.4-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gcc-14-base ppc64el 14.2.0-19 [49.5 kB] Fetched 49.5 kB in 0s (233 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpgw4rayjh/gcc-14-base_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el po-debconf all 1.0.21+nmu1 [248 kB] Fetched 248 kB in 1s (475 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpj22in9lf/po-debconf_1.0.21+nmu1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libkeyutils1 ppc64el 1.6.3-4 [10.3 kB] Fetched 10.3 kB in 0s (45.4 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp7gx894b9/libkeyutils1_1.6.3-4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gcc-14 ppc64el 14.2.0-19 [540 kB] Fetched 540 kB in 0s (2310 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp6t99wuf9/gcc-14_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libmpfr6 ppc64el 4.2.2-1 [723 kB] Fetched 723 kB in 1s (1358 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpgyp6l7sq/libmpfr6_4.2.2-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libattr1 ppc64el 1:2.5.2-3 [23.8 kB] Fetched 23.8 kB in 0s (113 kB/s) dpkg-name: info: moved 'libattr1_1%3a2.5.2-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp76piki27/libattr1_2.5.2-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el tar ppc64el 1.35+dfsg-3.1 [832 kB] Fetched 832 kB in 1s (1586 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp4ryri5w1/tar_1.35+dfsg-3.1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el lsb-release all 12.1-1 [5912 B] Fetched 5912 B in 0s (284 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpe3evikxj/lsb-release_12.1-1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libpipeline1 ppc64el 1.5.8-1 [43.2 kB] Fetched 43.2 kB in 1s (82.5 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpiz5tq6l7/libpipeline1_1.5.8-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libexpat1 ppc64el 2.7.1-1 [101 kB] Fetched 101 kB in 1s (200 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp3b33vdxw/libexpat1_2.7.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgmpxx4ldbl ppc64el 2:6.3.0+dfsg-3 [329 kB] Fetched 329 kB in 1s (618 kB/s) dpkg-name: info: moved 'libgmpxx4ldbl_2%3a6.3.0+dfsg-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmprlp0fzdr/libgmpxx4ldbl_6.3.0+dfsg-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libxml2-dev ppc64el 2.12.7+dfsg+really2.9.14-0.4 [861 kB] Fetched 861 kB in 0s (3735 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpq67x33ab/libxml2-dev_2.12.7+dfsg+really2.9.14-0.4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el xz-utils ppc64el 5.6.4-1 [556 kB] Fetched 556 kB in 2s (336 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpxgopp3ma/xz-utils_5.6.4-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el llvm-19-linker-tools ppc64el 1:19.1.7-3 [1238 kB] Fetched 1238 kB in 2s (647 kB/s) dpkg-name: info: moved 'llvm-19-linker-tools_1%3a19.1.7-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpna2ln_ic/llvm-19-linker-tools_19.1.7-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libstdc++6 ppc64el 14.2.0-19 [797 kB] Fetched 797 kB in 1s (1505 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp2ne7vlwn/libstdc++6_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libbz2-1.0 ppc64el 1.0.8-6 [42.2 kB] Fetched 42.2 kB in 0s (182 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpzwvhl77e/libbz2-1.0_1.0.8-6_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el llvm-dev ppc64el 1:19.0-63 [6156 B] Fetched 6156 B in 0s (29.0 kB/s) dpkg-name: info: moved 'llvm-dev_1%3a19.0-63_ppc64el.deb' to '/srv/rebuilderd/tmp/tmppoax735g/llvm-dev_19.0-63_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libcrypt-dev ppc64el 1:4.4.38-1 [132 kB] Fetched 132 kB in 1s (235 kB/s) dpkg-name: info: moved 'libcrypt-dev_1%3a4.4.38-1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp05qqdabx/libcrypt-dev_4.4.38-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libfile-stripnondeterminism-perl all 1.14.1-2 [19.7 kB] Fetched 19.7 kB in 1s (38.6 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpcf0zlvab/libfile-stripnondeterminism-perl_1.14.1-2_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libreadline8t64 ppc64el 8.2-6 [180 kB] Fetched 180 kB in 0s (878 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpc0imvevb/libreadline8t64_8.2-6_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libudev1 ppc64el 257.4-3 [155 kB] Fetched 155 kB in 0s (760 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmplx1ql_cy/libudev1_257.4-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libncurses6 ppc64el 6.5+20250216-2 [118 kB] Fetched 118 kB in 1s (202 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpnuiw3o5o/libncurses6_6.5+20250216-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el make ppc64el 4.4.1-1 [469 kB] Fetched 469 kB in 1s (865 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmprm814q6r/make_4.4.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el patchutils ppc64el 0.4.2-1 [80.3 kB] Fetched 80.3 kB in 0s (376 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmprcvbpb9u/patchutils_0.4.2-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libquadmath0 ppc64el 14.2.0-19 [151 kB] Fetched 151 kB in 0s (768 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp8rb7wojy/libquadmath0_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libxml2 ppc64el 2.12.7+dfsg+really2.9.14-0.4 [729 kB] Fetched 729 kB in 0s (3064 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpihf6i4ac/libxml2_2.12.7+dfsg+really2.9.14-0.4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el procps ppc64el 2:4.0.4-7 [884 kB] Fetched 884 kB in 0s (4022 kB/s) dpkg-name: info: moved 'procps_2%3a4.0.4-7_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpepq9_p65/procps_4.0.4-7_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el python3 ppc64el 3.13.2-2 [28.1 kB] Fetched 28.1 kB in 0s (129 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpl6v_j6y4/python3_3.13.2-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el man-db ppc64el 2.13.0-1 [1432 kB] Fetched 1432 kB in 0s (6182 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmprx3_fi8o/man-db_2.13.0-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libclang-cpp19 ppc64el 1:19.1.7-3 [13.2 MB] Fetched 13.2 MB in 2s (6123 kB/s) dpkg-name: info: moved 'libclang-cpp19_1%3a19.1.7-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpu309j8ek/libclang-cpp19_19.1.7-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libstdc++-14-dev ppc64el 14.2.0-19 [2507 kB] Fetched 2507 kB in 1s (4343 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp1uh631we/libstdc++-14-dev_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el cpp-powerpc64le-linux-gnu ppc64el 4:14.2.0-1 [4848 B] Fetched 4848 B in 1s (8492 B/s) dpkg-name: info: moved 'cpp-powerpc64le-linux-gnu_4%3a14.2.0-1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmptbobhxgn/cpp-powerpc64le-linux-gnu_14.2.0-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el groff-base ppc64el 1.23.0-7 [1183 kB] Fetched 1183 kB in 0s (4858 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp6ffv8n3t/groff-base_1.23.0-7_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libkrb5support0 ppc64el 1.21.3-5 [35.6 kB] Fetched 35.6 kB in 0s (170 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpv5tdpnuw/libkrb5support0_1.21.3-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el python3-minimal ppc64el 3.13.2-2 [27.1 kB] Fetched 27.1 kB in 1s (46.7 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpoph05gg9/python3-minimal_3.13.2-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el sharutils ppc64el 1:4.15.2-11 [271 kB] Fetched 271 kB in 1s (193 kB/s) dpkg-name: info: moved 'sharutils_1%3a4.15.2-11_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp2z1f_ssd/sharutils_4.15.2-11_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gettext ppc64el 0.23.1-1 [1722 kB] Fetched 1722 kB in 2s (886 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpvkesk830/gettext_0.23.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libmpfr-dev ppc64el 4.2.2-1 [310 kB] Fetched 310 kB in 0s (1283 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpf26a7x_9/libmpfr-dev_4.2.2-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgdbm6t64 ppc64el 1.24-2 [79.4 kB] Fetched 79.4 kB in 1s (150 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpztil40w7/libgdbm6t64_1.24-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgomp1 ppc64el 14.2.0-19 [143 kB] Fetched 143 kB in 1s (269 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpw6d3p_c4/libgomp1_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libuchardet0 ppc64el 0.0.8-1+b2 [71.3 kB] Fetched 71.3 kB in 1s (137 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpc3iz75w2/libuchardet0_0.0.8-1+b2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libz3-4 ppc64el 4.13.3-1 [8560 kB] Fetched 8560 kB in 0s (32.2 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp5gnps6es/libz3-4_4.13.3-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el autopoint all 0.23.1-1 [770 kB] Fetched 770 kB in 0s (15.0 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpxytap6o0/autopoint_0.23.1-1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el debianutils ppc64el 5.21 [93.1 kB] Fetched 93.1 kB in 0s (404 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp80fokzf0/debianutils_5.21_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el clang-19 ppc64el 1:19.1.7-3 [117 kB] Fetched 117 kB in 1s (219 kB/s) dpkg-name: info: moved 'clang-19_1%3a19.1.7-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpxyky_okv/clang-19_19.1.7-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libdb5.3t64 ppc64el 5.3.28+dfsg2-9 [733 kB] Fetched 733 kB in 1s (1385 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpmodbl06s/libdb5.3t64_5.3.28+dfsg2-9_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el autotools-dev all 20240727.1 [60.2 kB] Fetched 60.2 kB in 1s (117 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpk33e5_xs/autotools-dev_20240727.1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gcc ppc64el 4:14.2.0-1 [5148 B] Fetched 5148 B in 0s (22.6 kB/s) dpkg-name: info: moved 'gcc_4%3a14.2.0-1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpe3ms03uw/gcc_14.2.0-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libmd0 ppc64el 1.1.0-2+b1 [34.1 kB] Fetched 34.1 kB in 1s (65.1 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpuihkt5ln/libmd0_1.1.0-2+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el init-system-helpers all 1.68 [38.7 kB] Fetched 38.7 kB in 0s (1812 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpi0ojx5vu/init-system-helpers_1.68_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el sed ppc64el 4.9-2 [334 kB] Fetched 334 kB in 1s (623 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpjgdn_r4s/sed_4.9-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libclang-common-19-dev ppc64el 1:19.1.7-3 [740 kB] Fetched 740 kB in 0s (3494 kB/s) dpkg-name: info: moved 'libclang-common-19-dev_1%3a19.1.7-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpmfm_e30e/libclang-common-19-dev_19.1.7-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el llvm-19 ppc64el 1:19.1.7-3 [17.1 MB] Fetched 17.1 MB in 3s (6653 kB/s) dpkg-name: info: moved 'llvm-19_1%3a19.1.7-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp1srk1x_x/llvm-19_19.1.7-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el diffutils ppc64el 1:3.10-3 [392 kB] Fetched 392 kB in 1s (731 kB/s) dpkg-name: info: moved 'diffutils_1%3a3.10-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp63wg4_n4/diffutils_3.10-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libsasl2-modules-db ppc64el 2.1.28+dfsg1-9 [22.0 kB] Fetched 22.0 kB in 0s (104 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp85zc3lmo/libsasl2-modules-db_2.1.28+dfsg1-9_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libtext-charwidth-perl ppc64el 0.04-11+b4 [9732 B] Fetched 9732 B in 1s (16.7 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpuexpfvdk/libtext-charwidth-perl_0.04-11+b4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libsframe1 ppc64el 2.44-3 [79.6 kB] Fetched 79.6 kB in 0s (342 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpv9tcaio_/libsframe1_2.44-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libcc1-0 ppc64el 14.2.0-19 [42.9 kB] Fetched 42.9 kB in 1s (81.6 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpppu2ioml/libcc1-0_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el dh-strip-nondeterminism all 1.14.1-2 [8620 B] Fetched 8620 B in 1s (15.3 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpcffnyp0a/dh-strip-nondeterminism_1.14.1-2_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libsigsegv2 ppc64el 2.14-1+b2 [34.9 kB] Fetched 34.9 kB in 1s (56.7 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpdl_tm010/libsigsegv2_2.14-1+b2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gcc-powerpc64le-linux-gnu ppc64el 4:14.2.0-1 [1448 B] Fetched 1448 B in 0s (6315 B/s) dpkg-name: info: moved 'gcc-powerpc64le-linux-gnu_4%3a14.2.0-1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp5gvzo2p5/gcc-powerpc64le-linux-gnu_14.2.0-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libllvm19 ppc64el 1:19.1.7-3 [25.7 MB] Fetched 25.7 MB in 3s (7953 kB/s) dpkg-name: info: moved 'libllvm19_1%3a19.1.7-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpmqux0zcr/libllvm19_19.1.7-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libnghttp2-14 ppc64el 1.64.0-1 [82.6 kB] Fetched 82.6 kB in 1s (156 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp1ywhknh5/libnghttp2-14_1.64.0-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gawk ppc64el 1:5.2.1-2+b1 [680 kB] Fetched 680 kB in 0s (3019 kB/s) dpkg-name: info: moved 'gawk_1%3a5.2.1-2+b1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp8vlbqb3w/gawk_5.2.1-2+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el libdebhelper-perl all 13.24.2 [90.9 kB] Fetched 90.9 kB in 0s (428 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmppp8bwxow/libdebhelper-perl_13.24.2_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libobjc-14-dev ppc64el 14.2.0-19 [179 kB] Fetched 179 kB in 1s (332 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpj41wdrt4/libobjc-14-dev_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libmpc-dev ppc64el 1.3.1-1+b3 [70.4 kB] Fetched 70.4 kB in 0s (329 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpkkd7v1lz/libmpc-dev_1.3.1-1+b3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libisl23 ppc64el 0.27-1 [733 kB] Fetched 733 kB in 1s (1226 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp9hv1lppe/libisl23_0.27-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el llvm-runtime ppc64el 1:19.0-63 [5904 B] Fetched 5904 B in 1s (8445 B/s) dpkg-name: info: moved 'llvm-runtime_1%3a19.0-63_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpqnccizw9/llvm-runtime_19.0-63_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el netbase all 6.5 [12.4 kB] Fetched 12.4 kB in 1s (24.0 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpcs8h00bk/netbase_6.5_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el cpp ppc64el 4:14.2.0-1 [1584 B] Fetched 1584 B in 1s (2747 B/s) dpkg-name: info: moved 'cpp_4%3a14.2.0-1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpey3tlk8q/cpp_14.2.0-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el dpkg-dev all 1.22.18 [1338 kB] Fetched 1338 kB in 0s (5850 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpeylm8ocd/dpkg-dev_1.22.18_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el file ppc64el 1:5.46-4 [44.3 kB] Fetched 44.3 kB in 1s (60.0 kB/s) dpkg-name: info: moved 'file_1%3a5.46-4_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpk8muaw7m/file_5.46-4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libpython3-stdlib ppc64el 3.13.2-2 [10.1 kB] Fetched 10.1 kB in 1s (18.3 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpat7wbr97/libpython3-stdlib_3.13.2-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el quilt all 0.68-1 [437 kB] Fetched 437 kB in 0s (15.1 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpeyizoos2/quilt_0.68-1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libk5crypto3 ppc64el 1.21.3-5 [90.8 kB] Fetched 90.8 kB in 1s (176 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp0jvvl4n3/libk5crypto3_1.21.3-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libp11-kit0 ppc64el 0.25.5-3 [423 kB] Fetched 423 kB in 1s (791 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpe7989nip/libp11-kit0_0.25.5-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el libmagic-mgc ppc64el 1:5.46-4 [337 kB] Fetched 337 kB in 1s (460 kB/s) dpkg-name: info: moved 'libmagic-mgc_1%3a5.46-4_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpkuqmfm_f/libmagic-mgc_5.46-4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el build-essential ppc64el 12.12 [4628 B] Fetched 4628 B in 0s (22.4 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpr54ui8zv/build-essential_12.12_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el texinfo all 7.1.1-1 [1753 kB] Fetched 1753 kB in 0s (8211 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpbeks1grj/texinfo_7.1.1-1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el dwz ppc64el 0.15-1+b1 [110 kB] Fetched 110 kB in 1s (209 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpe_9ggq2a/dwz_0.15-1+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libtinfo6 ppc64el 6.5+20250216-2 [356 kB] Fetched 356 kB in 1s (610 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp8u2bnrmo/libtinfo6_6.5+20250216-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el g++-14 ppc64el 14.2.0-19 [22.5 kB] Fetched 22.5 kB in 1s (42.7 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpkn87io33/g++-14_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libbsd0 ppc64el 0.12.2-2 [136 kB] Fetched 136 kB in 0s (632 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpz45j6i26/libbsd0_0.12.2-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el ed ppc64el 1.21.1-1 [64.6 kB] Fetched 64.6 kB in 0s (282 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpqjccglet/ed_1.21.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libblkid1 ppc64el 2.40.4-5 [188 kB] Fetched 188 kB in 0s (809 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpiy3_ei18/libblkid1_2.40.4-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgnutls30t64 ppc64el 3.8.9-2 [1425 kB] Fetched 1425 kB in 1s (2398 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp5m7h9uh8/libgnutls30t64_3.8.9-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el rpcsvc-proto ppc64el 1.4.3-1 [64.9 kB] Fetched 64.9 kB in 1s (125 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp0vivixje/rpcsvc-proto_1.4.3-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el ucf all 3.0050 [42.7 kB] Fetched 42.7 kB in 1s (82.3 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpyl6wko_z/ucf_3.0050_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libbrotli1 ppc64el 1.1.0-2+b7 [335 kB] Fetched 335 kB in 1s (591 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp3d9ymyjp/libbrotli1_1.1.0-2+b7_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el automake all 1:1.17-4 [862 kB] Fetched 862 kB in 1s (1425 kB/s) dpkg-name: info: moved 'automake_1%3a1.17-4_all.deb' to '/srv/rebuilderd/tmp/tmpufei_99e/automake_1.17-4_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libatomic1 ppc64el 14.2.0-19 [9952 B] Fetched 9952 B in 0s (47.9 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpj8qxc03t/libatomic1_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libkrb5-3 ppc64el 1.21.3-5 [356 kB] Fetched 356 kB in 0s (1614 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpru1u69fv/libkrb5-3_1.21.3-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libpcre2-8-0 ppc64el 10.45-1 [286 kB] Fetched 286 kB in 0s (1314 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpwb8nx8w2/libpcre2-8-0_10.45-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el binutils-common ppc64el 2.44-3 [2509 kB] Fetched 2509 kB in 0s (10.2 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp1ucv21y7/binutils-common_2.44-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libproc2-0 ppc64el 2:4.0.4-7 [68.7 kB] Fetched 68.7 kB in 1s (85.4 kB/s) dpkg-name: info: moved 'libproc2-0_2%3a4.0.4-7_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp_ac56nid/libproc2-0_4.0.4-7_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el media-types all 13.0.0 [29.3 kB] Fetched 29.3 kB in 0s (1256 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpsuk7xzuj/media-types_13.0.0_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el python3.13-minimal ppc64el 3.13.2-3 [2223 kB] Fetched 2223 kB in 2s (1112 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpjqqq4ho9/python3.13-minimal_3.13.2-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgdbm-compat4t64 ppc64el 1.24-2 [50.6 kB] Fetched 50.6 kB in 1s (87.6 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp69us2if3/libgdbm-compat4t64_1.24-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el time ppc64el 1.9-0.2 [51.1 kB] Fetched 51.1 kB in 0s (237 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpyzo997ti/time_1.9-0.2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libffi-dev ppc64el 3.4.7-1 [61.7 kB] Fetched 61.7 kB in 2s (41.1 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpcrejvaea/libffi-dev_3.4.7-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el llvm-19-runtime ppc64el 1:19.1.7-3 [552 kB] Fetched 552 kB in 2s (339 kB/s) dpkg-name: info: moved 'llvm-19-runtime_1%3a19.1.7-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpio4m6xdz/llvm-19-runtime_19.1.7-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el python3.13 ppc64el 3.13.2-3 [746 kB] Fetched 746 kB in 0s (3366 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmppzb7hhl4/python3.13_3.13.2-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libc6 ppc64el 2.41-6 [2761 kB] Fetched 2761 kB in 2s (1597 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpobqkoj3m/libc6_2.41-6_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libtsan2 ppc64el 14.2.0-19 [2416 kB] Fetched 2416 kB in 1s (4488 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp5fyysidj/libtsan2_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el findutils ppc64el 4.10.0-3 [713 kB] Fetched 713 kB in 0s (2946 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpyhwmd336/findutils_4.10.0-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el grep ppc64el 3.11-4 [439 kB] Fetched 439 kB in 1s (753 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp8xhxhkql/grep_3.11-4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libpam-modules-bin ppc64el 1.7.0-3 [52.2 kB] Fetched 52.2 kB in 1s (97.6 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp3qo0fccc/libpam-modules-bin_1.7.0-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libcrypt1 ppc64el 1:4.4.38-1 [98.0 kB] Fetched 98.0 kB in 0s (461 kB/s) dpkg-name: info: moved 'libcrypt1_1%3a4.4.38-1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpt11t1fk6/libcrypt1_4.4.38-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libcom-err2 ppc64el 1.47.2-1+b1 [24.7 kB] Fetched 24.7 kB in 1s (47.1 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpzmy50urg/libcom-err2_1.47.2-1+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libjansson4 ppc64el 2.14-2+b3 [43.3 kB] Fetched 43.3 kB in 0s (188 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmplg_seedl/libjansson4_2.14-2+b3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libpam0g ppc64el 1.7.0-3 [74.3 kB] Fetched 74.3 kB in 0s (348 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp7oaquz3_/libpam0g_1.7.0-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgmp10 ppc64el 2:6.3.0+dfsg-3 [572 kB] Fetched 572 kB in 1s (974 kB/s) dpkg-name: info: moved 'libgmp10_2%3a6.3.0+dfsg-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp4_1fdovx/libgmp10_6.3.0+dfsg-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libaudit-common all 1:4.0.2-2 [12.7 kB] Fetched 12.7 kB in 1s (24.3 kB/s) dpkg-name: info: moved 'libaudit-common_1%3a4.0.2-2_all.deb' to '/srv/rebuilderd/tmp/tmpywn_hvv9/libaudit-common_4.0.2-2_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libsasl2-2 ppc64el 2.1.28+dfsg1-9 [65.9 kB] Fetched 65.9 kB in 1s (121 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpv6x6c4pd/libsasl2-2_2.1.28+dfsg1-9_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el ncurses-bin ppc64el 6.5+20250216-2 [442 kB] Fetched 442 kB in 0s (1970 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpmmtiy4fr/ncurses-bin_6.5+20250216-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el dh-ada-library all 9.9 [18.4 kB] Fetched 18.4 kB in 0s (748 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp00y22_d3/dh-ada-library_9.9_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libobjc4 ppc64el 14.2.0-19 [48.0 kB] Fetched 48.0 kB in 0s (206 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpgzuqz291/libobjc4_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el cpp-14 ppc64el 14.2.0-19 [1284 B] Fetched 1284 B in 1s (2433 B/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp6th_8qlv/cpp-14_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libcurl4t64 ppc64el 8.13.0~rc3-1 [403 kB] Fetched 403 kB in 1s (321 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpeagwios0/libcurl4t64_8.13.0~rc3-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el mawk ppc64el 1.3.4.20250131-1 [144 kB] Fetched 144 kB in 1s (266 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpomlzudd7/mawk_1.3.4.20250131-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libsystemd0 ppc64el 257.4-3 [480 kB] Fetched 480 kB in 1s (898 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpi0poltc7/libsystemd0_257.4-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250409T024945Z sid/main ppc64el libpython3.13-minimal ppc64el 3.13.2-3 [860 kB] Fetched 860 kB in 1s (1580 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpb9xz0_wi/libpython3.13-minimal_3.13.2-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el llvm ppc64el 1:19.0-63 [8324 B] Fetched 8324 B in 0s (39.2 kB/s) dpkg-name: info: moved 'llvm_1%3a19.0-63_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpmnq6b7oq/llvm_19.0-63_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libxml-namespacesupport-perl all 1.12-2 [15.1 kB] Fetched 15.1 kB in 0s (705 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp4wkxe8zw/libxml-namespacesupport-perl_1.12-2_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libasan8 ppc64el 14.2.0-19 [2650 kB] Fetched 2650 kB in 1s (4863 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpt6p3xkx4/libasan8_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libitm1 ppc64el 14.2.0-19 [28.5 kB] Fetched 28.5 kB in 0s (134 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmplqaaq0s0/libitm1_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libselinux1 ppc64el 3.8.1-1 [93.8 kB] Fetched 93.8 kB in 1s (178 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpo16ccpsn/libselinux1_3.8.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libpam-modules ppc64el 1.7.0-3 [202 kB] Fetched 202 kB in 0s (853 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpe881wc2e/libpam-modules_1.7.0-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el coreutils ppc64el 9.5-1 [2946 kB] Fetched 2946 kB in 2s (1189 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpmuu4yeco/coreutils_9.5-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libcap2 ppc64el 1:2.75-4 [30.6 kB] Fetched 30.6 kB in 1s (31.2 kB/s) dpkg-name: info: moved 'libcap2_1%3a2.75-4_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpzwngu9mk/libcap2_2.75-4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libtext-wrapi18n-perl all 0.06-10 [8808 B] Fetched 8808 B in 1s (16.9 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpfy5_onkk/libtext-wrapi18n-perl_0.06-10_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el bsdextrautils ppc64el 2.40.4-5 [98.6 kB] Fetched 98.6 kB in 1s (87.2 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpu8rocw04/bsdextrautils_2.40.4-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libacl1 ppc64el 2.3.2-2+b1 [34.5 kB] Fetched 34.5 kB in 0s (165 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpkm8ickcp/libacl1_2.3.2-2+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el dpkg ppc64el 1.22.18 [1558 kB] Fetched 1558 kB in 1s (2861 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpnkd6qw75/dpkg_1.22.18_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libnghttp3-9 ppc64el 1.8.0-1 [73.0 kB] Fetched 73.0 kB in 0s (355 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp69d5nvzg/libnghttp3-9_1.8.0-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libhogweed6t64 ppc64el 3.10.1-1 [339 kB] Fetched 339 kB in 1s (634 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpku98ztk3/libhogweed6t64_3.10.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libunistring5 ppc64el 1.3-2 [480 kB] Fetched 480 kB in 0s (2177 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpgnuzb9re/libunistring5_1.3-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libedit2 ppc64el 3.1-20250104-1 [102 kB] Fetched 102 kB in 0s (480 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp_fdi4anz/libedit2_3.1-20250104-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el perl ppc64el 5.40.1-2 [267 kB] Fetched 267 kB in 0s (1234 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp4io2wrp8/perl_5.40.1-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libyaml-0-2 ppc64el 0.2.5-2 [58.3 kB] Fetched 58.3 kB in 0s (274 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpegj70h_z/libyaml-0-2_0.2.5-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el zlib1g ppc64el 1:1.3.dfsg+really1.3.1-1+b1 [87.4 kB] Fetched 87.4 kB in 1s (166 kB/s) dpkg-name: info: moved 'zlib1g_1%3a1.3.dfsg+really1.3.1-1+b1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmprfay1e0p/zlib1g_1.3.dfsg+really1.3.1-1+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgnat-14 ppc64el 14.2.0-19 [1216 kB] Fetched 1216 kB in 2s (592 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp1lfd133z/libgnat-14_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gnat all 14.1 [3392 B] Fetched 3392 B in 0s (151 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp0dx5u1jo/gnat_14.1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el llvm-19-dev ppc64el 1:19.1.7-3 [40.4 MB] Fetched 40.4 MB in 4s (10.1 MB/s) dpkg-name: info: moved 'llvm-19-dev_1%3a19.1.7-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpz9o9d1rh/llvm-19-dev_19.1.7-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el autoconf all 2.72-3 [493 kB] Fetched 493 kB in 0s (15.2 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpxkabfffi/autoconf_2.72-3_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libclang1-19 ppc64el 1:19.1.7-3 [7952 kB] Fetched 7952 kB in 2s (3620 kB/s) dpkg-name: info: moved 'libclang1-19_1%3a19.1.7-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpx4o7j8op/libclang1-19_19.1.7-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libxml-libxml-perl ppc64el 2.0207+dfsg+really+2.0134-5+b1 [313 kB] Fetched 313 kB in 1s (597 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpe8vj3okr/libxml-libxml-perl_2.0207+dfsg+really+2.0134-5+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el openssl-provider-legacy ppc64el 3.4.1-1 [303 kB] Fetched 303 kB in 0s (1368 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpifgb81zj/openssl-provider-legacy_3.4.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el python3-yaml ppc64el 6.0.2-1+b2 [131 kB] Fetched 131 kB in 0s (642 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpznyjr2pn/python3-yaml_6.0.2-1+b2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el perl-base ppc64el 5.40.1-2 [1653 kB] Fetched 1653 kB in 1s (1165 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp93ox0lbi/perl-base_5.40.1-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libpam-runtime all 1.7.0-3 [248 kB] Fetched 248 kB in 0s (1051 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpihjep8qb/libpam-runtime_1.7.0-3_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libsmartcols1 ppc64el 2.40.4-5 [153 kB] Fetched 153 kB in 1s (271 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmps558hrb2/libsmartcols1_2.40.4-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libxml-sax-perl all 1.02+dfsg-4 [53.4 kB] Fetched 53.4 kB in 0s (1955 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpbb_j9psf/libxml-sax-perl_1.02+dfsg-4_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libldap2 ppc64el 2.6.9+dfsg-2 [208 kB] Fetched 208 kB in 0s (1031 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpm05f_2sd/libldap2_2.6.9+dfsg-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libc-dev-bin ppc64el 2.41-6 [57.7 kB] Fetched 57.7 kB in 0s (257 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp7rkm5jcx/libc-dev-bin_2.41-6_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libdpkg-perl all 1.22.18 [649 kB] Fetched 649 kB in 0s (19.9 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmplrwqz08z/libdpkg-perl_1.22.18_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gettext-base ppc64el 0.23.1-1 [245 kB] Fetched 245 kB in 1s (458 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpudapv3ml/gettext-base_0.23.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el bsdutils ppc64el 1:2.40.4-5 [111 kB] Fetched 111 kB in 1s (139 kB/s) dpkg-name: info: moved 'bsdutils_1%3a2.40.4-5_ppc64el.deb' to '/srv/rebuilderd/tmp/tmpjk4_mzpj/bsdutils_2.40.4-5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gzip ppc64el 1.13-1 [141 kB] Fetched 141 kB in 0s (598 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmppegiahe7/gzip_1.13-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el m4 ppc64el 1.4.19-7 [308 kB] Fetched 308 kB in 2s (175 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp0epzcdgp/m4_1.4.19-7_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libtext-unidecode-perl all 1.30-3 [101 kB] Fetched 101 kB in 0s (440 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp_t8xlk2k/libtext-unidecode-perl_1.30-3_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el patch ppc64el 2.7.6-7 [134 kB] Fetched 134 kB in 1s (253 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpwuzwmtsr/patch_2.7.6-7_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el autoconf2.69 all 2.69-3.1 [291 kB] Fetched 291 kB in 0s (10.4 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpwvuwias_/autoconf2.69_2.69-3.1_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el cpp-14-powerpc64le-linux-gnu ppc64el 14.2.0-19 [9288 kB] Fetched 9288 kB in 0s (34.7 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpcil6m1xx/cpp-14-powerpc64le-linux-gnu_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgc1 ppc64el 1:8.2.8-1 [252 kB] Fetched 252 kB in 0s (610 kB/s) dpkg-name: info: moved 'libgc1_1%3a8.2.8-1_ppc64el.deb' to '/srv/rebuilderd/tmp/tmp868bvfq3/libgc1_8.2.8-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libelf1t64 ppc64el 0.192-4 [199 kB] Fetched 199 kB in 0s (912 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp707s3ov8/libelf1t64_0.192-4_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libcap-ng0 ppc64el 0.8.5-4+b1 [18.1 kB] Fetched 18.1 kB in 1s (34.4 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpe_nh1rl_/libcap-ng0_0.8.5-4+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libtasn1-6 ppc64el 4.20.0-2 [53.4 kB] Fetched 53.4 kB in 1s (101 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpyngs53_m/libtasn1-6_4.20.0-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el gnat-14 ppc64el 14.2.0-19 [7548 B] Fetched 7548 B in 1s (10.6 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpvouu_6s6/gnat-14_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el perl-modules-5.40 all 5.40.1-2 [3017 kB] Fetched 3017 kB in 1s (5485 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpi4f_0th4/perl-modules-5.40_5.40.1-2_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libubsan1 ppc64el 14.2.0-19 [1079 kB] Fetched 1079 kB in 1s (1947 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpighxwz0j/libubsan1_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libc-bin ppc64el 2.41-6 [673 kB] Fetched 673 kB in 0s (3046 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpt80__8ew/libc-bin_2.41-6_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el g++-14-powerpc64le-linux-gnu ppc64el 14.2.0-19 [10.3 MB] Fetched 10.3 MB in 0s (37.1 MB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpnpe4ka5r/g++-14-powerpc64le-linux-gnu_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libpsl5t64 ppc64el 0.21.2-1.1+b1 [58.8 kB] Fetched 58.8 kB in 0s (276 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp4juigb63/libpsl5t64_0.21.2-1.1+b1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libncurses-dev ppc64el 6.5+20250216-2 [395 kB] Fetched 395 kB in 1s (737 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpavb_59s9/libncurses-dev_6.5+20250216-2_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libssh2-1t64 ppc64el 1.11.1-1 [256 kB] Fetched 256 kB in 1s (491 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpc63prf2g/libssh2-1t64_1.11.1-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el readline-common all 8.2-6 [69.4 kB] Fetched 69.4 kB in 1s (131 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpqs09qr7u/readline-common_8.2-6_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgmp-dev ppc64el 2:6.3.0+dfsg-3 [659 kB] Fetched 659 kB in 1s (693 kB/s) dpkg-name: info: moved 'libgmp-dev_2%3a6.3.0+dfsg-3_ppc64el.deb' to '/srv/rebuilderd/tmp/tmppp20caqi/libgmp-dev_6.3.0+dfsg-3_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libgcc-s1 ppc64el 14.2.0-19 [35.5 kB] Fetched 35.5 kB in 1s (67.6 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp00oamk9r/libgcc-s1_14.2.0-19_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el librtmp1 ppc64el 2.4+20151223.gitfa8646d.1-2+b5 [63.0 kB] Fetched 63.0 kB in 1s (120 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp9w9a4jpp/librtmp1_2.4+20151223.gitfa8646d.1-2+b5_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el debconf all 1.5.91 [121 kB] Fetched 121 kB in 0s (4804 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpd29d9rfn/debconf_1.5.91_all.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libdebconfclient0 ppc64el 0.277 [10.3 kB] Fetched 10.3 kB in 1s (17.9 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmp_1r7i7o2/libdebconfclient0_0.277_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el libc6-dev ppc64el 2.41-6 [1901 kB] Fetched 1901 kB in 2s (1170 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpdl4jsvgr/libc6-dev_2.41-6_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el liblzma5 ppc64el 5.6.4-1 [288 kB] Fetched 288 kB in 1s (207 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpq21v3xy2/liblzma5_5.6.4-1_ppc64el.deb' Get:1 http://snapshot.debian.org/archive/debian/20250328T083810Z sid/main ppc64el dh-autoreconf all 20 [17.1 kB] Fetched 17.1 kB in 0s (77.1 kB/s) dpkg-name: warning: skipping '/srv/rebuilderd/tmp/tmpzdo7kfj5/dh-autoreconf_20_all.deb' dpkg-buildpackage: info: source package debootsnap-dummy dpkg-buildpackage: info: source version 1.0 dpkg-buildpackage: info: source distribution unstable dpkg-buildpackage: info: source changed by Equivs Dummy Package Generator dpkg-source --before-build . dpkg-buildpackage: info: host architecture ppc64el debian/rules clean dh clean dh_clean debian/rules binary dh binary dh_update_autotools_config dh_autoreconf create-stamp debian/debhelper-build-stamp dh_prep dh_auto_install --destdir=debian/debootsnap-dummy/ dh_install dh_installdocs dh_installchangelogs dh_perl dh_link dh_strip_nondeterminism dh_compress dh_fixperms dh_missing dh_installdeb dh_gencontrol dh_md5sums dh_builddeb dpkg-deb: building package 'debootsnap-dummy' in '../debootsnap-dummy_1.0_all.deb'. dpkg-genbuildinfo --build=binary -O../debootsnap-dummy_1.0_ppc64el.buildinfo dpkg-genchanges --build=binary -O../debootsnap-dummy_1.0_ppc64el.changes dpkg-genchanges: info: binary-only upload (no source code included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) The package has been created. Attention, the package has been created in the /srv/rebuilderd/tmp/tmp7aw8hoix/cache directory, not in ".." as indicated by the message above! I: automatically chosen mode: unshare I: chroot architecture ppc64el is equal to the host's architecture I: using /srv/rebuilderd/tmp/mmdebstrap.o26ZzXKiVd as tempdir I: running --setup-hook directly: /usr/share/mmdebstrap/hooks/maybe-merged-usr/setup00.sh /srv/rebuilderd/tmp/mmdebstrap.o26ZzXKiVd 127.0.0.1 - - [21/Sep/2025 15:23:55] code 404, message File not found 127.0.0.1 - - [21/Sep/2025 15:23:55] "GET /./InRelease HTTP/1.1" 404 - Ign:1 http://localhost:40267 ./ InRelease 127.0.0.1 - - [21/Sep/2025 15:23:55] "GET /./Release HTTP/1.1" 200 - Get:2 http://localhost:40267 ./ Release [462 B] 127.0.0.1 - - [21/Sep/2025 15:23:55] code 404, message File not found 127.0.0.1 - - [21/Sep/2025 15:23:55] "GET /./Release.gpg HTTP/1.1" 404 - Ign:3 http://localhost:40267 ./ Release.gpg 127.0.0.1 - - [21/Sep/2025 15:23:55] "GET /./Packages HTTP/1.1" 200 - Get:4 http://localhost:40267 ./ Packages [298 kB] Fetched 298 kB in 0s (13.1 MB/s) Reading package lists... usr-is-merged found but not real -- not running merged-usr setup hook I: skipping apt-get update because it was already run I: downloading packages with apt... 127.0.0.1 - - [21/Sep/2025 15:23:55] "GET /./gcc-14-base_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:55] "GET /./libc6_2.41-6_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libgcc-s1_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./mawk_1.3.4.20250131-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./base-files_13.7_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libtinfo6_6.5%2b20250216-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./debianutils_5.21_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./bash_5.2.37-1.1%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libcap2_2.75-4_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libsystemd0_257.4-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./bsdutils_2.40.4-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libacl1_2.3.2-2%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libattr1_2.5.2-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libgmp10_6.3.0%2bdfsg-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libpcre2-8-0_10.45-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libselinux1_3.8.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libzstd1_1.5.7%2bdfsg-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./zlib1g_1.3.dfsg%2breally1.3.1-1%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libssl3t64_3.4.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./openssl-provider-legacy_3.4.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./coreutils_9.5-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./dash_0.5.12-12_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./diffutils_3.10-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libbz2-1.0_1.0.8-6_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./liblzma5_5.6.4-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libmd0_1.1.0-2%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./tar_1.35%2bdfsg-3.1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./dpkg_1.22.18_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./findutils_4.10.0-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./grep_3.11-4_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./gzip_1.13-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./hostname_3.25_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./ncurses-bin_6.5%2b20250216-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libcrypt1_4.4.38-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./perl-base_5.40.1-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./sed_4.9-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libaudit-common_4.0.2-2_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libcap-ng0_0.8.5-4%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libaudit1_4.0.2-2%2bb2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libdb5.3t64_5.3.28%2bdfsg2-9_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./debconf_1.5.91_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libpam0g_1.7.0-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libpam-modules-bin_1.7.0-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libpam-modules_1.7.0-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libpam-runtime_1.7.0-3_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libblkid1_2.40.4-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libmount1_2.40.4-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libsmartcols1_2.40.4-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libudev1_257.4-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libuuid1_2.40.4-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./util-linux_2.40.4-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libdebconfclient0_0.277_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./base-passwd_3.6.7_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./init-system-helpers_1.68_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./libc-bin_2.41-6_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./ncurses-base_6.5%2b20250216-2_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:23:56] "GET /./sysvinit-utils_3.14-4_ppc64el.deb HTTP/1.1" 200 - I: extracting archives... I: running --extract-hook directly: /usr/share/mmdebstrap/hooks/maybe-merged-usr/extract00.sh /srv/rebuilderd/tmp/mmdebstrap.o26ZzXKiVd 127.0.0.1 - - [21/Sep/2025 15:23:58] code 404, message File not found 127.0.0.1 - - [21/Sep/2025 15:23:58] "GET /./InRelease HTTP/1.1" 404 - Ign:1 http://localhost:40267 ./ InRelease 127.0.0.1 - - [21/Sep/2025 15:23:58] "GET /./Release HTTP/1.1" 304 - Hit:2 http://localhost:40267 ./ Release 127.0.0.1 - - [21/Sep/2025 15:23:58] code 404, message File not found 127.0.0.1 - - [21/Sep/2025 15:23:58] "GET /./Release.gpg HTTP/1.1" 404 - Ign:3 http://localhost:40267 ./ Release.gpg Reading package lists... usr-is-merged found but not real -- not running merged-usr extract hook I: installing essential packages... I: running --essential-hook directly: /usr/share/mmdebstrap/hooks/maybe-merged-usr/essential00.sh /srv/rebuilderd/tmp/mmdebstrap.o26ZzXKiVd usr-is-merged was not installed in a previous hook -- not running merged-usr essential hook I: installing remaining packages inside the chroot... 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libmpfr6_4.2.2-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./readline-common_8.2-6_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libreadline8t64_8.2-6_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libsigsegv2_2.14-1%2bb2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./gawk_5.2.1-2%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libpython3.13-minimal_3.13.2-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libexpat1_2.7.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./python3.13-minimal_3.13.2-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./python3-minimal_3.13.2-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./media-types_13.0.0_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./netbase_6.5_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./tzdata_2025b-1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libffi8_3.4.7-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libncursesw6_6.5%2b20250216-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libsqlite3-0_3.46.1-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libpython3.13-stdlib_3.13.2-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./python3.13_3.13.2-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libpython3-stdlib_3.13.2-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./python3_3.13.2-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libtext-charwidth-perl_0.04-11%2bb4_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libtext-wrapi18n-perl_0.06-10_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./sensible-utils_0.0.24_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libstdc%2b%2b6_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libuchardet0_0.0.8-1%2bb2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./groff-base_1.23.0-7_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./bsdextrautils_2.40.4-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libgdbm6t64_1.24-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libpipeline1_1.5.8-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libseccomp2_2.6.0-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./man-db_2.13.0-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libproc2-0_4.0.4-7_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./procps_4.0.4-7_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./bzip2_1.0.8-6_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libmagic-mgc_5.46-4_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libmagic1t64_5.46-4_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./file_5.46-4_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./gettext-base_0.23.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./perl-modules-5.40_5.40.1-2_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libgdbm-compat4t64_1.24-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libperl5.40_5.40.1-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./perl_5.40.1-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./time_1.9-0.2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./ucf_3.0050_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./xz-utils_5.6.4-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./m4_1.4.19-7_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./autoconf_2.72-3_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./autoconf2.69_2.69-3.1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./autotools-dev_20240727.1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./automake_1.17-4_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./autopoint_0.23.1-1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./binutils-common_2.44-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libsframe1_2.44-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libbinutils_2.44-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libctf-nobfd0_2.44-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libctf0_2.44-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libjansson4_2.14-2%2bb3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./binutils-powerpc64le-linux-gnu_2.44-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./binutils_2.44-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libc-dev-bin_2.41-6_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./linux-libc-dev_6.12.20-1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libcrypt-dev_4.4.38-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./rpcsvc-proto_1.4.3-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libc6-dev_2.41-6_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libisl23_0.27-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libmpc3_1.3.1-1%2bb3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./cpp-14-powerpc64le-linux-gnu_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./cpp-14_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./cpp-powerpc64le-linux-gnu_14.2.0-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./cpp_14.2.0-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libcc1-0_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libgomp1_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libitm1_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libatomic1_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libasan8_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./liblsan0_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libtsan2_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libubsan1_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libquadmath0_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./libgcc-14-dev_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:09] "GET /./gcc-14-powerpc64le-linux-gnu_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./gcc-14_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./gcc-powerpc64le-linux-gnu_14.2.0-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./gcc_14.2.0-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libstdc%2b%2b-14-dev_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./g%2b%2b-14-powerpc64le-linux-gnu_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./g%2b%2b-14_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./g%2b%2b-powerpc64le-linux-gnu_14.2.0-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./g%2b%2b_14.2.0-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./make_4.4.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libdpkg-perl_1.22.18_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./patch_2.7.6-7_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./dpkg-dev_1.22.18_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./build-essential_12.12_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libbsd0_0.12.2-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libedit2_3.1-20250104-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libxml2_2.12.7%2bdfsg%2breally2.9.14-0.4_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libz3-4_4.13.3-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libllvm19_19.1.7-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libclang-cpp19_19.1.7-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libgc1_8.2.8-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libobjc4_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libobjc-14-dev_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libclang-common-19-dev_19.1.7-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./llvm-19-linker-tools_19.1.7-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libclang1-19_19.1.7-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./clang-19_19.1.7-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./clang_19.0-63_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libdebhelper-perl_13.24.2_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libtool_2.5.4-4_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./dh-autoreconf_20_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libarchive-zip-perl_1.68-1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libfile-stripnondeterminism-perl_1.14.1-2_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./dh-strip-nondeterminism_1.14.1-2_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libelf1t64_0.192-4_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./dwz_0.15-1%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libunistring5_1.3-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./gettext_0.23.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./intltool-debian_0.35.0%2b20060710.6_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./po-debconf_1.0.21%2bnmu1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./debhelper_13.24.2_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libidn2-0_2.3.8-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libxml-sax-base-perl_1.09-3_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./python3-pygments_2.18.0%2bdfsg-2_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libkrb5support0_1.21.3-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libcom-err2_1.47.2-1%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libk5crypto3_1.21.3-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libkeyutils1_1.6.3-4_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libkrb5-3_1.21.3-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libgssapi-krb5-2_1.21.3-5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./tex-common_6.19_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./libgnat-14_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:10] "GET /./gnat-14-powerpc64le-linux-gnu_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./libz3-dev_4.13.3-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./zlib1g-dev_1.3.dfsg%2breally1.3.1-1%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./libyaml-0-2_0.2.5-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./python3-yaml_6.0.2-1%2bb2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./llvm-19-tools_19.1.7-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./libisl-dev_0.27-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./diffstat_1.67-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./ed_1.21.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./quilt_0.68-1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./patchutils_0.4.2-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./sharutils_4.15.2-11_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./lsb-release_12.1-1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:11] "GET /./gcc-14-source_14.2.0-19_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./texinfo-lib_7.1.1-1%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libnettle8t64_3.10.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libpfm4_4.13.0%2bgit99-gc5587f9-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libgmpxx4ldbl_6.3.0%2bdfsg-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libxml2-dev_2.12.7%2bdfsg%2breally2.9.14-0.4_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./llvm-19-runtime_19.1.7-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./llvm-runtime_19.0-63_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libffi-dev_3.4.7-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libbrotli1_1.1.0-2%2bb7_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libsasl2-modules-db_2.1.28%2bdfsg1-9_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libsasl2-2_2.1.28%2bdfsg1-9_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libldap2_2.6.9%2bdfsg-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libnghttp2-14_1.64.0-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libnghttp3-9_1.8.0-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libpsl5t64_0.21.2-1.1%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libhogweed6t64_3.10.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libp11-kit0_0.25.5-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libtasn1-6_4.20.0-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libgnutls30t64_3.8.9-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./librtmp1_2.4%2b20151223.gitfa8646d.1-2%2bb5_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libssh2-1t64_1.11.1-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libcurl4t64_8.13.0%7erc3-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./llvm-19_19.1.7-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libncurses6_6.5%2b20250216-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libncurses-dev_6.5%2b20250216-2_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./llvm-19-dev_19.1.7-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./llvm_19.0-63_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./llvm-dev_19.0-63_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libgmp-dev_6.3.0%2bdfsg-3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libmpfr-dev_4.2.2-1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libmpc-dev_1.3.1-1%2bb3_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libtext-unidecode-perl_1.30-3_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libxml-namespacesupport-perl_1.12-2_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libxml-sax-perl_1.02%2bdfsg-4_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./libxml-libxml-perl_2.0207%2bdfsg%2breally%2b2.0134-5%2bb1_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./texinfo_7.1.1-1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./gnat-14_14.2.0-19_ppc64el.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./gnat_14.1_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./dh-ada-library_9.9_all.deb HTTP/1.1" 200 - 127.0.0.1 - - [21/Sep/2025 15:24:12] "GET /./debootsnap-dummy_1.0_all.deb HTTP/1.1" 200 - I: running --customize-hook directly: /srv/rebuilderd/tmp/tmp7aw8hoix/apt_install.sh /srv/rebuilderd/tmp/mmdebstrap.o26ZzXKiVd Reading package lists... Building dependency tree... Reading state information... libidn2-0 is already the newest version (2.3.8-2). libidn2-0 set to manually installed. libmagic1t64 is already the newest version (1:5.46-4). libmagic1t64 set to manually installed. libxml-sax-base-perl is already the newest version (1.09-3). libxml-sax-base-perl set to manually installed. python3-pygments is already the newest version (2.18.0+dfsg-2). python3-pygments set to manually installed. base-files is already the newest version (13.7). libperl5.40 is already the newest version (5.40.1-2). libperl5.40 set to manually installed. libgssapi-krb5-2 is already the newest version (1.21.3-5). libgssapi-krb5-2 set to manually installed. ncurses-base is already the newest version (6.5+20250216-2). libseccomp2 is already the newest version (2.6.0-2). libseccomp2 set to manually installed. sensible-utils is already the newest version (0.0.24). sensible-utils set to manually installed. libssl3t64 is already the newest version (3.4.1-1). tex-common is already the newest version (6.19). tex-common set to manually installed. tzdata is already the newest version (2025b-1). tzdata set to manually installed. libtool is already the newest version (2.5.4-4). libtool set to manually installed. libbinutils is already the newest version (2.44-3). libbinutils set to manually installed. gnat-14-powerpc64le-linux-gnu is already the newest version (14.2.0-19). gnat-14-powerpc64le-linux-gnu set to manually installed. libgcc-14-dev is already the newest version (14.2.0-19). libgcc-14-dev set to manually installed. libz3-dev is already the newest version (4.13.3-1). libz3-dev set to manually installed. libzstd1 is already the newest version (1.5.7+dfsg-1). zlib1g-dev is already the newest version (1:1.3.dfsg+really1.3.1-1+b1). zlib1g-dev set to manually installed. llvm-19-tools is already the newest version (1:19.1.7-3). llvm-19-tools set to manually installed. gcc-14-powerpc64le-linux-gnu is already the newest version (14.2.0-19). gcc-14-powerpc64le-linux-gnu set to manually installed. libctf-nobfd0 is already the newest version (2.44-3). libctf-nobfd0 set to manually installed. libffi8 is already the newest version (3.4.7-1). libffi8 set to manually installed. libisl-dev is already the newest version (0.27-1). libisl-dev set to manually installed. debhelper is already the newest version (13.24.2). debhelper set to manually installed. intltool-debian is already the newest version (0.35.0+20060710.6). intltool-debian set to manually installed. dash is already the newest version (0.5.12-12). gcc-14-source is already the newest version (14.2.0-19). gcc-14-source set to manually installed. texinfo-lib is already the newest version (7.1.1-1+b1). texinfo-lib set to manually installed. libctf0 is already the newest version (2.44-3). libctf0 set to manually installed. libmount1 is already the newest version (2.40.4-5). libnettle8t64 is already the newest version (3.10.1-1). libnettle8t64 set to manually installed. linux-libc-dev is already the newest version (6.12.20-1). linux-libc-dev set to manually installed. g++-powerpc64le-linux-gnu is already the newest version (4:14.2.0-1). g++-powerpc64le-linux-gnu set to manually installed. libaudit1 is already the newest version (1:4.0.2-2+b2). binutils-powerpc64le-linux-gnu is already the newest version (2.44-3). binutils-powerpc64le-linux-gnu set to manually installed. liblsan0 is already the newest version (14.2.0-19). liblsan0 set to manually installed. bash is already the newest version (5.2.37-1.1+b1). hostname is already the newest version (3.25). libpython3.13-stdlib is already the newest version (3.13.2-3). libpython3.13-stdlib set to manually installed. util-linux is already the newest version (2.40.4-5). bzip2 is already the newest version (1.0.8-6). bzip2 set to manually installed. g++ is already the newest version (4:14.2.0-1). g++ set to manually installed. libsqlite3-0 is already the newest version (3.46.1-2). libsqlite3-0 set to manually installed. libmpc3 is already the newest version (1.3.1-1+b3). libmpc3 set to manually installed. sysvinit-utils is already the newest version (3.14-4). diffstat is already the newest version (1.67-1). diffstat set to manually installed. base-passwd is already the newest version (3.6.7). libarchive-zip-perl is already the newest version (1.68-1). libarchive-zip-perl set to manually installed. binutils is already the newest version (2.44-3). binutils set to manually installed. libpfm4 is already the newest version (4.13.0+git99-gc5587f9-1). libpfm4 set to manually installed. libncursesw6 is already the newest version (6.5+20250216-2). libncursesw6 set to manually installed. clang is already the newest version (1:19.0-63). clang set to manually installed. libuuid1 is already the newest version (2.40.4-5). gcc-14-base is already the newest version (14.2.0-19). po-debconf is already the newest version (1.0.21+nmu1). po-debconf set to manually installed. libkeyutils1 is already the newest version (1.6.3-4). libkeyutils1 set to manually installed. gcc-14 is already the newest version (14.2.0-19). gcc-14 set to manually installed. libmpfr6 is already the newest version (4.2.2-1). libmpfr6 set to manually installed. libattr1 is already the newest version (1:2.5.2-3). tar is already the newest version (1.35+dfsg-3.1). lsb-release is already the newest version (12.1-1). lsb-release set to manually installed. libpipeline1 is already the newest version (1.5.8-1). libpipeline1 set to manually installed. libexpat1 is already the newest version (2.7.1-1). libexpat1 set to manually installed. libgmpxx4ldbl is already the newest version (2:6.3.0+dfsg-3). libgmpxx4ldbl set to manually installed. libxml2-dev is already the newest version (2.12.7+dfsg+really2.9.14-0.4). libxml2-dev set to manually installed. xz-utils is already the newest version (5.6.4-1). xz-utils set to manually installed. llvm-19-linker-tools is already the newest version (1:19.1.7-3). llvm-19-linker-tools set to manually installed. libstdc++6 is already the newest version (14.2.0-19). libstdc++6 set to manually installed. libbz2-1.0 is already the newest version (1.0.8-6). llvm-dev is already the newest version (1:19.0-63). llvm-dev set to manually installed. libcrypt-dev is already the newest version (1:4.4.38-1). libcrypt-dev set to manually installed. libfile-stripnondeterminism-perl is already the newest version (1.14.1-2). libfile-stripnondeterminism-perl set to manually installed. libreadline8t64 is already the newest version (8.2-6). libreadline8t64 set to manually installed. libudev1 is already the newest version (257.4-3). libncurses6 is already the newest version (6.5+20250216-2). libncurses6 set to manually installed. make is already the newest version (4.4.1-1). make set to manually installed. patchutils is already the newest version (0.4.2-1). patchutils set to manually installed. libquadmath0 is already the newest version (14.2.0-19). libquadmath0 set to manually installed. libxml2 is already the newest version (2.12.7+dfsg+really2.9.14-0.4). libxml2 set to manually installed. procps is already the newest version (2:4.0.4-7). procps set to manually installed. python3 is already the newest version (3.13.2-2). python3 set to manually installed. man-db is already the newest version (2.13.0-1). man-db set to manually installed. libclang-cpp19 is already the newest version (1:19.1.7-3). libclang-cpp19 set to manually installed. libstdc++-14-dev is already the newest version (14.2.0-19). libstdc++-14-dev set to manually installed. cpp-powerpc64le-linux-gnu is already the newest version (4:14.2.0-1). cpp-powerpc64le-linux-gnu set to manually installed. groff-base is already the newest version (1.23.0-7). groff-base set to manually installed. libkrb5support0 is already the newest version (1.21.3-5). libkrb5support0 set to manually installed. python3-minimal is already the newest version (3.13.2-2). python3-minimal set to manually installed. sharutils is already the newest version (1:4.15.2-11). sharutils set to manually installed. gettext is already the newest version (0.23.1-1). gettext set to manually installed. libmpfr-dev is already the newest version (4.2.2-1). libmpfr-dev set to manually installed. libgdbm6t64 is already the newest version (1.24-2). libgdbm6t64 set to manually installed. libgomp1 is already the newest version (14.2.0-19). libgomp1 set to manually installed. libuchardet0 is already the newest version (0.0.8-1+b2). libuchardet0 set to manually installed. libz3-4 is already the newest version (4.13.3-1). libz3-4 set to manually installed. autopoint is already the newest version (0.23.1-1). autopoint set to manually installed. debianutils is already the newest version (5.21). clang-19 is already the newest version (1:19.1.7-3). clang-19 set to manually installed. libdb5.3t64 is already the newest version (5.3.28+dfsg2-9). autotools-dev is already the newest version (20240727.1). autotools-dev set to manually installed. gcc is already the newest version (4:14.2.0-1). gcc set to manually installed. libmd0 is already the newest version (1.1.0-2+b1). init-system-helpers is already the newest version (1.68). sed is already the newest version (4.9-2). libclang-common-19-dev is already the newest version (1:19.1.7-3). libclang-common-19-dev set to manually installed. llvm-19 is already the newest version (1:19.1.7-3). llvm-19 set to manually installed. diffutils is already the newest version (1:3.10-3). libsasl2-modules-db is already the newest version (2.1.28+dfsg1-9). libsasl2-modules-db set to manually installed. libtext-charwidth-perl is already the newest version (0.04-11+b4). libtext-charwidth-perl set to manually installed. libsframe1 is already the newest version (2.44-3). libsframe1 set to manually installed. libcc1-0 is already the newest version (14.2.0-19). libcc1-0 set to manually installed. dh-strip-nondeterminism is already the newest version (1.14.1-2). dh-strip-nondeterminism set to manually installed. libsigsegv2 is already the newest version (2.14-1+b2). libsigsegv2 set to manually installed. gcc-powerpc64le-linux-gnu is already the newest version (4:14.2.0-1). gcc-powerpc64le-linux-gnu set to manually installed. libllvm19 is already the newest version (1:19.1.7-3). libllvm19 set to manually installed. libnghttp2-14 is already the newest version (1.64.0-1). libnghttp2-14 set to manually installed. gawk is already the newest version (1:5.2.1-2+b1). gawk set to manually installed. libdebhelper-perl is already the newest version (13.24.2). libdebhelper-perl set to manually installed. libobjc-14-dev is already the newest version (14.2.0-19). libobjc-14-dev set to manually installed. libmpc-dev is already the newest version (1.3.1-1+b3). libmpc-dev set to manually installed. libisl23 is already the newest version (0.27-1). libisl23 set to manually installed. llvm-runtime is already the newest version (1:19.0-63). llvm-runtime set to manually installed. netbase is already the newest version (6.5). netbase set to manually installed. cpp is already the newest version (4:14.2.0-1). cpp set to manually installed. dpkg-dev is already the newest version (1.22.18). dpkg-dev set to manually installed. file is already the newest version (1:5.46-4). file set to manually installed. libpython3-stdlib is already the newest version (3.13.2-2). libpython3-stdlib set to manually installed. quilt is already the newest version (0.68-1). quilt set to manually installed. libk5crypto3 is already the newest version (1.21.3-5). libk5crypto3 set to manually installed. libp11-kit0 is already the newest version (0.25.5-3). libp11-kit0 set to manually installed. libmagic-mgc is already the newest version (1:5.46-4). libmagic-mgc set to manually installed. build-essential is already the newest version (12.12). build-essential set to manually installed. texinfo is already the newest version (7.1.1-1). texinfo set to manually installed. dwz is already the newest version (0.15-1+b1). dwz set to manually installed. libtinfo6 is already the newest version (6.5+20250216-2). g++-14 is already the newest version (14.2.0-19). g++-14 set to manually installed. libbsd0 is already the newest version (0.12.2-2). libbsd0 set to manually installed. ed is already the newest version (1.21.1-1). ed set to manually installed. libblkid1 is already the newest version (2.40.4-5). libgnutls30t64 is already the newest version (3.8.9-2). libgnutls30t64 set to manually installed. rpcsvc-proto is already the newest version (1.4.3-1). rpcsvc-proto set to manually installed. ucf is already the newest version (3.0050). ucf set to manually installed. libbrotli1 is already the newest version (1.1.0-2+b7). libbrotli1 set to manually installed. automake is already the newest version (1:1.17-4). automake set to manually installed. libatomic1 is already the newest version (14.2.0-19). libatomic1 set to manually installed. libkrb5-3 is already the newest version (1.21.3-5). libkrb5-3 set to manually installed. libpcre2-8-0 is already the newest version (10.45-1). binutils-common is already the newest version (2.44-3). binutils-common set to manually installed. libproc2-0 is already the newest version (2:4.0.4-7). libproc2-0 set to manually installed. media-types is already the newest version (13.0.0). media-types set to manually installed. python3.13-minimal is already the newest version (3.13.2-3). python3.13-minimal set to manually installed. libgdbm-compat4t64 is already the newest version (1.24-2). libgdbm-compat4t64 set to manually installed. time is already the newest version (1.9-0.2). time set to manually installed. libffi-dev is already the newest version (3.4.7-1). libffi-dev set to manually installed. llvm-19-runtime is already the newest version (1:19.1.7-3). llvm-19-runtime set to manually installed. python3.13 is already the newest version (3.13.2-3). python3.13 set to manually installed. libc6 is already the newest version (2.41-6). libtsan2 is already the newest version (14.2.0-19). libtsan2 set to manually installed. findutils is already the newest version (4.10.0-3). grep is already the newest version (3.11-4). libpam-modules-bin is already the newest version (1.7.0-3). libcrypt1 is already the newest version (1:4.4.38-1). libcom-err2 is already the newest version (1.47.2-1+b1). libcom-err2 set to manually installed. libjansson4 is already the newest version (2.14-2+b3). libjansson4 set to manually installed. libpam0g is already the newest version (1.7.0-3). libgmp10 is already the newest version (2:6.3.0+dfsg-3). libaudit-common is already the newest version (1:4.0.2-2). libsasl2-2 is already the newest version (2.1.28+dfsg1-9). libsasl2-2 set to manually installed. ncurses-bin is already the newest version (6.5+20250216-2). dh-ada-library is already the newest version (9.9). dh-ada-library set to manually installed. libobjc4 is already the newest version (14.2.0-19). libobjc4 set to manually installed. cpp-14 is already the newest version (14.2.0-19). cpp-14 set to manually installed. libcurl4t64 is already the newest version (8.13.0~rc3-1). libcurl4t64 set to manually installed. mawk is already the newest version (1.3.4.20250131-1). libsystemd0 is already the newest version (257.4-3). libpython3.13-minimal is already the newest version (3.13.2-3). libpython3.13-minimal set to manually installed. llvm is already the newest version (1:19.0-63). llvm set to manually installed. libxml-namespacesupport-perl is already the newest version (1.12-2). libxml-namespacesupport-perl set to manually installed. libasan8 is already the newest version (14.2.0-19). libasan8 set to manually installed. libitm1 is already the newest version (14.2.0-19). libitm1 set to manually installed. libselinux1 is already the newest version (3.8.1-1). libpam-modules is already the newest version (1.7.0-3). coreutils is already the newest version (9.5-1). libcap2 is already the newest version (1:2.75-4). libtext-wrapi18n-perl is already the newest version (0.06-10). libtext-wrapi18n-perl set to manually installed. bsdextrautils is already the newest version (2.40.4-5). bsdextrautils set to manually installed. libacl1 is already the newest version (2.3.2-2+b1). dpkg is already the newest version (1.22.18). libnghttp3-9 is already the newest version (1.8.0-1). libnghttp3-9 set to manually installed. libhogweed6t64 is already the newest version (3.10.1-1). libhogweed6t64 set to manually installed. libunistring5 is already the newest version (1.3-2). libunistring5 set to manually installed. libedit2 is already the newest version (3.1-20250104-1). libedit2 set to manually installed. perl is already the newest version (5.40.1-2). perl set to manually installed. libyaml-0-2 is already the newest version (0.2.5-2). libyaml-0-2 set to manually installed. zlib1g is already the newest version (1:1.3.dfsg+really1.3.1-1+b1). libgnat-14 is already the newest version (14.2.0-19). libgnat-14 set to manually installed. gnat is already the newest version (14.1). gnat set to manually installed. llvm-19-dev is already the newest version (1:19.1.7-3). llvm-19-dev set to manually installed. autoconf is already the newest version (2.72-3). autoconf set to manually installed. libclang1-19 is already the newest version (1:19.1.7-3). libclang1-19 set to manually installed. libxml-libxml-perl is already the newest version (2.0207+dfsg+really+2.0134-5+b1). libxml-libxml-perl set to manually installed. openssl-provider-legacy is already the newest version (3.4.1-1). python3-yaml is already the newest version (6.0.2-1+b2). python3-yaml set to manually installed. perl-base is already the newest version (5.40.1-2). libpam-runtime is already the newest version (1.7.0-3). libsmartcols1 is already the newest version (2.40.4-5). libxml-sax-perl is already the newest version (1.02+dfsg-4). libxml-sax-perl set to manually installed. libldap2 is already the newest version (2.6.9+dfsg-2). libldap2 set to manually installed. libc-dev-bin is already the newest version (2.41-6). libc-dev-bin set to manually installed. libdpkg-perl is already the newest version (1.22.18). libdpkg-perl set to manually installed. gettext-base is already the newest version (0.23.1-1). gettext-base set to manually installed. bsdutils is already the newest version (1:2.40.4-5). gzip is already the newest version (1.13-1). m4 is already the newest version (1.4.19-7). m4 set to manually installed. libtext-unidecode-perl is already the newest version (1.30-3). libtext-unidecode-perl set to manually installed. patch is already the newest version (2.7.6-7). patch set to manually installed. autoconf2.69 is already the newest version (2.69-3.1). autoconf2.69 set to manually installed. cpp-14-powerpc64le-linux-gnu is already the newest version (14.2.0-19). cpp-14-powerpc64le-linux-gnu set to manually installed. libgc1 is already the newest version (1:8.2.8-1). libgc1 set to manually installed. libelf1t64 is already the newest version (0.192-4). libelf1t64 set to manually installed. libcap-ng0 is already the newest version (0.8.5-4+b1). libtasn1-6 is already the newest version (4.20.0-2). libtasn1-6 set to manually installed. gnat-14 is already the newest version (14.2.0-19). gnat-14 set to manually installed. perl-modules-5.40 is already the newest version (5.40.1-2). perl-modules-5.40 set to manually installed. libubsan1 is already the newest version (14.2.0-19). libubsan1 set to manually installed. libc-bin is already the newest version (2.41-6). g++-14-powerpc64le-linux-gnu is already the newest version (14.2.0-19). g++-14-powerpc64le-linux-gnu set to manually installed. libpsl5t64 is already the newest version (0.21.2-1.1+b1). libpsl5t64 set to manually installed. libncurses-dev is already the newest version (6.5+20250216-2). libncurses-dev set to manually installed. libssh2-1t64 is already the newest version (1.11.1-1). libssh2-1t64 set to manually installed. readline-common is already the newest version (8.2-6). readline-common set to manually installed. libgmp-dev is already the newest version (2:6.3.0+dfsg-3). libgmp-dev set to manually installed. libgcc-s1 is already the newest version (14.2.0-19). librtmp1 is already the newest version (2.4+20151223.gitfa8646d.1-2+b5). librtmp1 set to manually installed. debconf is already the newest version (1.5.91). libdebconfclient0 is already the newest version (0.277). libc6-dev is already the newest version (2.41-6). libc6-dev set to manually installed. liblzma5 is already the newest version (5.6.4-1). dh-autoreconf is already the newest version (20). dh-autoreconf set to manually installed. 0 upgraded, 0 newly installed, 0 to remove and 0 not upgraded. I: running --customize-hook in shell: sh -c 'chroot "$1" dpkg -r debootsnap-dummy' exec /srv/rebuilderd/tmp/mmdebstrap.o26ZzXKiVd (Reading database ... 23898 files and directories currently installed.) Removing debootsnap-dummy (1.0) ... I: running --customize-hook in shell: sh -c 'chroot "$1" dpkg-query --showformat '${binary:Package}=${Version}\n' --show > "$1/pkglist"' exec /srv/rebuilderd/tmp/mmdebstrap.o26ZzXKiVd I: running special hook: download /pkglist ./pkglist I: running --customize-hook in shell: sh -c 'rm "$1/pkglist"' exec /srv/rebuilderd/tmp/mmdebstrap.o26ZzXKiVd I: running special hook: upload sources.list /etc/apt/sources.list I: waiting for background processes to finish... I: cleaning package lists and apt cache... I: skipping cleanup/reproducible as requested I: creating tarball... I: done I: removing tempdir /srv/rebuilderd/tmp/mmdebstrap.o26ZzXKiVd... I: success in 64.7329 seconds Downloading dependency 1 of 241: libidn2-0:ppc64el=2.3.8-2 Downloading dependency 2 of 241: libmagic1t64:ppc64el=1:5.46-4 Downloading dependency 3 of 241: libxml-sax-base-perl:ppc64el=1.09-3 Downloading dependency 4 of 241: python3-pygments:ppc64el=2.18.0+dfsg-2 Downloading dependency 5 of 241: base-files:ppc64el=13.7 Downloading dependency 6 of 241: libperl5.40:ppc64el=5.40.1-2 Downloading dependency 7 of 241: libgssapi-krb5-2:ppc64el=1.21.3-5 Downloading dependency 8 of 241: ncurses-base:ppc64el=6.5+20250216-2 Downloading dependency 9 of 241: libseccomp2:ppc64el=2.6.0-2 Downloading dependency 10 of 241: sensible-utils:ppc64el=0.0.24 Downloading dependency 11 of 241: libssl3t64:ppc64el=3.4.1-1 Downloading dependency 12 of 241: tex-common:ppc64el=6.19 Downloading dependency 13 of 241: tzdata:ppc64el=2025b-1 Downloading dependency 14 of 241: libtool:ppc64el=2.5.4-4 Downloading dependency 15 of 241: libbinutils:ppc64el=2.44-3 Downloading dependency 16 of 241: gnat-14-powerpc64le-linux-gnu:ppc64el=14.2.0-19 Downloading dependency 17 of 241: libgcc-14-dev:ppc64el=14.2.0-19 Downloading dependency 18 of 241: libz3-dev:ppc64el=4.13.3-1 Downloading dependency 19 of 241: libzstd1:ppc64el=1.5.7+dfsg-1 Downloading dependency 20 of 241: zlib1g-dev:ppc64el=1:1.3.dfsg+really1.3.1-1+b1 Downloading dependency 21 of 241: llvm-19-tools:ppc64el=1:19.1.7-3 Downloading dependency 22 of 241: gcc-14-powerpc64le-linux-gnu:ppc64el=14.2.0-19 Downloading dependency 23 of 241: libctf-nobfd0:ppc64el=2.44-3 Downloading dependency 24 of 241: libffi8:ppc64el=3.4.7-1 Downloading dependency 25 of 241: libisl-dev:ppc64el=0.27-1 Downloading dependency 26 of 241: debhelper:ppc64el=13.24.2 Downloading dependency 27 of 241: intltool-debian:ppc64el=0.35.0+20060710.6 Downloading dependency 28 of 241: dash:ppc64el=0.5.12-12 Downloading dependency 29 of 241: gcc-14-source:ppc64el=14.2.0-19 Downloading dependency 30 of 241: texinfo-lib:ppc64el=7.1.1-1+b1 Downloading dependency 31 of 241: libctf0:ppc64el=2.44-3 Downloading dependency 32 of 241: libmount1:ppc64el=2.40.4-5 Downloading dependency 33 of 241: libnettle8t64:ppc64el=3.10.1-1 Downloading dependency 34 of 241: linux-libc-dev:ppc64el=6.12.20-1 Downloading dependency 35 of 241: g++-powerpc64le-linux-gnu:ppc64el=4:14.2.0-1 Downloading dependency 36 of 241: libaudit1:ppc64el=1:4.0.2-2+b2 Downloading dependency 37 of 241: binutils-powerpc64le-linux-gnu:ppc64el=2.44-3 Downloading dependency 38 of 241: liblsan0:ppc64el=14.2.0-19 Downloading dependency 39 of 241: bash:ppc64el=5.2.37-1.1+b1 Downloading dependency 40 of 241: hostname:ppc64el=3.25 Downloading dependency 41 of 241: libpython3.13-stdlib:ppc64el=3.13.2-3 Downloading dependency 42 of 241: util-linux:ppc64el=2.40.4-5 Downloading dependency 43 of 241: bzip2:ppc64el=1.0.8-6 Downloading dependency 44 of 241: g++:ppc64el=4:14.2.0-1 Downloading dependency 45 of 241: libsqlite3-0:ppc64el=3.46.1-2 Downloading dependency 46 of 241: libmpc3:ppc64el=1.3.1-1+b3 Downloading dependency 47 of 241: sysvinit-utils:ppc64el=3.14-4 Downloading dependency 48 of 241: diffstat:ppc64el=1.67-1 Downloading dependency 49 of 241: base-passwd:ppc64el=3.6.7 Downloading dependency 50 of 241: libarchive-zip-perl:ppc64el=1.68-1 Downloading dependency 51 of 241: binutils:ppc64el=2.44-3 Downloading dependency 52 of 241: libpfm4:ppc64el=4.13.0+git99-gc5587f9-1 Downloading dependency 53 of 241: libncursesw6:ppc64el=6.5+20250216-2 Downloading dependency 54 of 241: clang:ppc64el=1:19.0-63 Downloading dependency 55 of 241: libuuid1:ppc64el=2.40.4-5 Downloading dependency 56 of 241: gcc-14-base:ppc64el=14.2.0-19 Downloading dependency 57 of 241: po-debconf:ppc64el=1.0.21+nmu1 Downloading dependency 58 of 241: libkeyutils1:ppc64el=1.6.3-4 Downloading dependency 59 of 241: gcc-14:ppc64el=14.2.0-19 Downloading dependency 60 of 241: libmpfr6:ppc64el=4.2.2-1 Downloading dependency 61 of 241: libattr1:ppc64el=1:2.5.2-3 Downloading dependency 62 of 241: tar:ppc64el=1.35+dfsg-3.1 Downloading dependency 63 of 241: lsb-release:ppc64el=12.1-1 Downloading dependency 64 of 241: libpipeline1:ppc64el=1.5.8-1 Downloading dependency 65 of 241: libexpat1:ppc64el=2.7.1-1 Downloading dependency 66 of 241: libgmpxx4ldbl:ppc64el=2:6.3.0+dfsg-3 Downloading dependency 67 of 241: libxml2-dev:ppc64el=2.12.7+dfsg+really2.9.14-0.4 Downloading dependency 68 of 241: xz-utils:ppc64el=5.6.4-1 Downloading dependency 69 of 241: llvm-19-linker-tools:ppc64el=1:19.1.7-3 Downloading dependency 70 of 241: libstdc++6:ppc64el=14.2.0-19 Downloading dependency 71 of 241: libbz2-1.0:ppc64el=1.0.8-6 Downloading dependency 72 of 241: llvm-dev:ppc64el=1:19.0-63 Downloading dependency 73 of 241: libcrypt-dev:ppc64el=1:4.4.38-1 Downloading dependency 74 of 241: libfile-stripnondeterminism-perl:ppc64el=1.14.1-2 Downloading dependency 75 of 241: libreadline8t64:ppc64el=8.2-6 Downloading dependency 76 of 241: libudev1:ppc64el=257.4-3 Downloading dependency 77 of 241: libncurses6:ppc64el=6.5+20250216-2 Downloading dependency 78 of 241: make:ppc64el=4.4.1-1 Downloading dependency 79 of 241: patchutils:ppc64el=0.4.2-1 Downloading dependency 80 of 241: libquadmath0:ppc64el=14.2.0-19 Downloading dependency 81 of 241: libxml2:ppc64el=2.12.7+dfsg+really2.9.14-0.4 Downloading dependency 82 of 241: procps:ppc64el=2:4.0.4-7 Downloading dependency 83 of 241: python3:ppc64el=3.13.2-2 Downloading dependency 84 of 241: man-db:ppc64el=2.13.0-1 Downloading dependency 85 of 241: libclang-cpp19:ppc64el=1:19.1.7-3 Downloading dependency 86 of 241: libstdc++-14-dev:ppc64el=14.2.0-19 Downloading dependency 87 of 241: cpp-powerpc64le-linux-gnu:ppc64el=4:14.2.0-1 Downloading dependency 88 of 241: groff-base:ppc64el=1.23.0-7 Downloading dependency 89 of 241: libkrb5support0:ppc64el=1.21.3-5 Downloading dependency 90 of 241: python3-minimal:ppc64el=3.13.2-2 Downloading dependency 91 of 241: sharutils:ppc64el=1:4.15.2-11 Downloading dependency 92 of 241: gettext:ppc64el=0.23.1-1 Downloading dependency 93 of 241: libmpfr-dev:ppc64el=4.2.2-1 Downloading dependency 94 of 241: libgdbm6t64:ppc64el=1.24-2 Downloading dependency 95 of 241: libgomp1:ppc64el=14.2.0-19 Downloading dependency 96 of 241: libuchardet0:ppc64el=0.0.8-1+b2 Downloading dependency 97 of 241: libz3-4:ppc64el=4.13.3-1 Downloading dependency 98 of 241: autopoint:ppc64el=0.23.1-1 Downloading dependency 99 of 241: debianutils:ppc64el=5.21 Downloading dependency 100 of 241: clang-19:ppc64el=1:19.1.7-3 Downloading dependency 101 of 241: libdb5.3t64:ppc64el=5.3.28+dfsg2-9 Downloading dependency 102 of 241: autotools-dev:ppc64el=20240727.1 Downloading dependency 103 of 241: gcc:ppc64el=4:14.2.0-1 Downloading dependency 104 of 241: libmd0:ppc64el=1.1.0-2+b1 Downloading dependency 105 of 241: init-system-helpers:ppc64el=1.68 Downloading dependency 106 of 241: sed:ppc64el=4.9-2 Downloading dependency 107 of 241: libclang-common-19-dev:ppc64el=1:19.1.7-3 Downloading dependency 108 of 241: llvm-19:ppc64el=1:19.1.7-3 Downloading dependency 109 of 241: diffutils:ppc64el=1:3.10-3 Downloading dependency 110 of 241: libsasl2-modules-db:ppc64el=2.1.28+dfsg1-9 Downloading dependency 111 of 241: libtext-charwidth-perl:ppc64el=0.04-11+b4 Downloading dependency 112 of 241: libsframe1:ppc64el=2.44-3 Downloading dependency 113 of 241: libcc1-0:ppc64el=14.2.0-19 Downloading dependency 114 of 241: dh-strip-nondeterminism:ppc64el=1.14.1-2 Downloading dependency 115 of 241: libsigsegv2:ppc64el=2.14-1+b2 Downloading dependency 116 of 241: gcc-powerpc64le-linux-gnu:ppc64el=4:14.2.0-1 Downloading dependency 117 of 241: libllvm19:ppc64el=1:19.1.7-3 Downloading dependency 118 of 241: libnghttp2-14:ppc64el=1.64.0-1 Downloading dependency 119 of 241: gawk:ppc64el=1:5.2.1-2+b1 Downloading dependency 120 of 241: libdebhelper-perl:ppc64el=13.24.2 Downloading dependency 121 of 241: libobjc-14-dev:ppc64el=14.2.0-19 Downloading dependency 122 of 241: libmpc-dev:ppc64el=1.3.1-1+b3 Downloading dependency 123 of 241: libisl23:ppc64el=0.27-1 Downloading dependency 124 of 241: llvm-runtime:ppc64el=1:19.0-63 Downloading dependency 125 of 241: netbase:ppc64el=6.5 Downloading dependency 126 of 241: cpp:ppc64el=4:14.2.0-1 Downloading dependency 127 of 241: dpkg-dev:ppc64el=1.22.18 Downloading dependency 128 of 241: file:ppc64el=1:5.46-4 Downloading dependency 129 of 241: libpython3-stdlib:ppc64el=3.13.2-2 Downloading dependency 130 of 241: quilt:ppc64el=0.68-1 Downloading dependency 131 of 241: libk5crypto3:ppc64el=1.21.3-5 Downloading dependency 132 of 241: libp11-kit0:ppc64el=0.25.5-3 Downloading dependency 133 of 241: libmagic-mgc:ppc64el=1:5.46-4 Downloading dependency 134 of 241: build-essential:ppc64el=12.12 Downloading dependency 135 of 241: texinfo:ppc64el=7.1.1-1 Downloading dependency 136 of 241: dwz:ppc64el=0.15-1+b1 Downloading dependency 137 of 241: libtinfo6:ppc64el=6.5+20250216-2 Downloading dependency 138 of 241: g++-14:ppc64el=14.2.0-19 Downloading dependency 139 of 241: libbsd0:ppc64el=0.12.2-2 Downloading dependency 140 of 241: ed:ppc64el=1.21.1-1 Downloading dependency 141 of 241: libblkid1:ppc64el=2.40.4-5 Downloading dependency 142 of 241: libgnutls30t64:ppc64el=3.8.9-2 Downloading dependency 143 of 241: rpcsvc-proto:ppc64el=1.4.3-1 Downloading dependency 144 of 241: ucf:ppc64el=3.0050 Downloading dependency 145 of 241: libbrotli1:ppc64el=1.1.0-2+b7 Downloading dependency 146 of 241: automake:ppc64el=1:1.17-4 Downloading dependency 147 of 241: libatomic1:ppc64el=14.2.0-19 Downloading dependency 148 of 241: libkrb5-3:ppc64el=1.21.3-5 Downloading dependency 149 of 241: libpcre2-8-0:ppc64el=10.45-1 Downloading dependency 150 of 241: binutils-common:ppc64el=2.44-3 Downloading dependency 151 of 241: libproc2-0:ppc64el=2:4.0.4-7 Downloading dependency 152 of 241: media-types:ppc64el=13.0.0 Downloading dependency 153 of 241: python3.13-minimal:ppc64el=3.13.2-3 Downloading dependency 154 of 241: libgdbm-compat4t64:ppc64el=1.24-2 Downloading dependency 155 of 241: time:ppc64el=1.9-0.2 Downloading dependency 156 of 241: libffi-dev:ppc64el=3.4.7-1 Downloading dependency 157 of 241: llvm-19-runtime:ppc64el=1:19.1.7-3 Downloading dependency 158 of 241: python3.13:ppc64el=3.13.2-3 Downloading dependency 159 of 241: libc6:ppc64el=2.41-6 Downloading dependency 160 of 241: libtsan2:ppc64el=14.2.0-19 Downloading dependency 161 of 241: findutils:ppc64el=4.10.0-3 Downloading dependency 162 of 241: grep:ppc64el=3.11-4 Downloading dependency 163 of 241: libpam-modules-bin:ppc64el=1.7.0-3 Downloading dependency 164 of 241: libcrypt1:ppc64el=1:4.4.38-1 Downloading dependency 165 of 241: libcom-err2:ppc64el=1.47.2-1+b1 Downloading dependency 166 of 241: libjansson4:ppc64el=2.14-2+b3 Downloading dependency 167 of 241: libpam0g:ppc64el=1.7.0-3 Downloading dependency 168 of 241: libgmp10:ppc64el=2:6.3.0+dfsg-3 Downloading dependency 169 of 241: libaudit-common:ppc64el=1:4.0.2-2 Downloading dependency 170 of 241: libsasl2-2:ppc64el=2.1.28+dfsg1-9 Downloading dependency 171 of 241: ncurses-bin:ppc64el=6.5+20250216-2 Downloading dependency 172 of 241: dh-ada-library:ppc64el=9.9 Downloading dependency 173 of 241: libobjc4:ppc64el=14.2.0-19 Downloading dependency 174 of 241: cpp-14:ppc64el=14.2.0-19 Downloading dependency 175 of 241: libcurl4t64:ppc64el=8.13.0~rc3-1 Downloading dependency 176 of 241: mawk:ppc64el=1.3.4.20250131-1 Downloading dependency 177 of 241: libsystemd0:ppc64el=257.4-3 Downloading dependency 178 of 241: libpython3.13-minimal:ppc64el=3.13.2-3 Downloading dependency 179 of 241: llvm:ppc64el=1:19.0-63 Downloading dependency 180 of 241: libxml-namespacesupport-perl:ppc64el=1.12-2 Downloading dependency 181 of 241: libasan8:ppc64el=14.2.0-19 Downloading dependency 182 of 241: libitm1:ppc64el=14.2.0-19 Downloading dependency 183 of 241: libselinux1:ppc64el=3.8.1-1 Downloading dependency 184 of 241: libpam-modules:ppc64el=1.7.0-3 Downloading dependency 185 of 241: coreutils:ppc64el=9.5-1 Downloading dependency 186 of 241: libcap2:ppc64el=1:2.75-4 Downloading dependency 187 of 241: libtext-wrapi18n-perl:ppc64el=0.06-10 Downloading dependency 188 of 241: bsdextrautils:ppc64el=2.40.4-5 Downloading dependency 189 of 241: libacl1:ppc64el=2.3.2-2+b1 Downloading dependency 190 of 241: dpkg:ppc64el=1.22.18 Downloading dependency 191 of 241: libnghttp3-9:ppc64el=1.8.0-1 Downloading dependency 192 of 241: libhogweed6t64:ppc64el=3.10.1-1 Downloading dependency 193 of 241: libunistring5:ppc64el=1.3-2 Downloading dependency 194 of 241: libedit2:ppc64el=3.1-20250104-1 Downloading dependency 195 of 241: perl:ppc64el=5.40.1-2 Downloading dependency 196 of 241: libyaml-0-2:ppc64el=0.2.5-2 Downloading dependency 197 of 241: zlib1g:ppc64el=1:1.3.dfsg+really1.3.1-1+b1 Downloading dependency 198 of 241: libgnat-14:ppc64el=14.2.0-19 Downloading dependency 199 of 241: gnat:ppc64el=14.1 Downloading dependency 200 of 241: llvm-19-dev:ppc64el=1:19.1.7-3 Downloading dependency 201 of 241: autoconf:ppc64el=2.72-3 Downloading dependency 202 of 241: libclang1-19:ppc64el=1:19.1.7-3 Downloading dependency 203 of 241: libxml-libxml-perl:ppc64el=2.0207+dfsg+really+2.0134-5+b1 Downloading dependency 204 of 241: openssl-provider-legacy:ppc64el=3.4.1-1 Downloading dependency 205 of 241: python3-yaml:ppc64el=6.0.2-1+b2 Downloading dependency 206 of 241: perl-base:ppc64el=5.40.1-2 Downloading dependency 207 of 241: libpam-runtime:ppc64el=1.7.0-3 Downloading dependency 208 of 241: libsmartcols1:ppc64el=2.40.4-5 Downloading dependency 209 of 241: libxml-sax-perl:ppc64el=1.02+dfsg-4 Downloading dependency 210 of 241: libldap2:ppc64el=2.6.9+dfsg-2 Downloading dependency 211 of 241: libc-dev-bin:ppc64el=2.41-6 Downloading dependency 212 of 241: libdpkg-perl:ppc64el=1.22.18 Downloading dependency 213 of 241: gettext-base:ppc64el=0.23.1-1 Downloading dependency 214 of 241: bsdutils:ppc64el=1:2.40.4-5 Downloading dependency 215 of 241: gzip:ppc64el=1.13-1 Downloading dependency 216 of 241: m4:ppc64el=1.4.19-7 Downloading dependency 217 of 241: libtext-unidecode-perl:ppc64el=1.30-3 Downloading dependency 218 of 241: patch:ppc64el=2.7.6-7 Downloading dependency 219 of 241: autoconf2.69:ppc64el=2.69-3.1 Downloading dependency 220 of 241: cpp-14-powerpc64le-linux-gnu:ppc64el=14.2.0-19 Downloading dependency 221 of 241: libgc1:ppc64el=1:8.2.8-1 Downloading dependency 222 of 241: libelf1t64:ppc64el=0.192-4 Downloading dependency 223 of 241: libcap-ng0:ppc64el=0.8.5-4+b1 Downloading dependency 224 of 241: libtasn1-6:ppc64el=4.20.0-2 Downloading dependency 225 of 241: gnat-14:ppc64el=14.2.0-19 Downloading dependency 226 of 241: perl-modules-5.40:ppc64el=5.40.1-2 Downloading dependency 227 of 241: libubsan1:ppc64el=14.2.0-19 Downloading dependency 228 of 241: libc-bin:ppc64el=2.41-6 Downloading dependency 229 of 241: g++-14-powerpc64le-linux-gnu:ppc64el=14.2.0-19 Downloading dependency 230 of 241: libpsl5t64:ppc64el=0.21.2-1.1+b1 Downloading dependency 231 of 241: libncurses-dev:ppc64el=6.5+20250216-2 Downloading dependency 232 of 241: libssh2-1t64:ppc64el=1.11.1-1 Downloading dependency 233 of 241: readline-common:ppc64el=8.2-6 Downloading dependency 234 of 241: libgmp-dev:ppc64el=2:6.3.0+dfsg-3 Downloading dependency 235 of 241: libgcc-s1:ppc64el=14.2.0-19 Downloading dependency 236 of 241: librtmp1:ppc64el=2.4+20151223.gitfa8646d.1-2+b5 Downloading dependency 237 of 241: debconf:ppc64el=1.5.91 Downloading dependency 238 of 241: libdebconfclient0:ppc64el=0.277 Downloading dependency 239 of 241: libc6-dev:ppc64el=2.41-6 Downloading dependency 240 of 241: liblzma5:ppc64el=5.6.4-1 Downloading dependency 241 of 241: dh-autoreconf:ppc64el=20 env --chdir=/srv/rebuilderd/tmp/rebuilderd503pmW/out DEB_BUILD_OPTIONS=parallel=8 LANG=C.UTF-8 LC_COLLATE=C.UTF-8 LC_CTYPE=C.UTF-8 SOURCE_DATE_EPOCH=1743278974 SBUILD_CONFIG=/srv/rebuilderd/tmp/debrebuildblcj6S/debrebuild.sbuildrc.tRjSmK2grtHd sbuild --build=ppc64el --host=ppc64el --no-source --arch-any --no-arch-all --binNMU-changelog= ghdl (5.0.1+dfsg-1+b1) sid; urgency=low, binary-only=yes * Binary-only non-maintainer upload for ppc64el; no source changes. * Rebuild on buildd -- ppc64el Build Daemon (ppc64el-osuosl-02) Sat, 29 Mar 2025 20:09:34 +0000 --chroot=/srv/rebuilderd/tmp/debrebuildblcj6S/debrebuild.tar.lL9s6iqdy5A1 --chroot-mode=unshare --dist=unstable --no-run-lintian --no-run-piuparts --no-run-autopkgtest --no-apt-update --no-apt-upgrade --no-apt-distupgrade --verbose --nolog --bd-uninstallable-explainer= --build-path=/build/reproducible-path --dsc-dir=ghdl-5.0.1+dfsg /srv/rebuilderd/tmp/rebuilderd503pmW/inputs/ghdl_5.0.1+dfsg-1.dsc I: consider moving your ~/.sbuildrc to /srv/rebuilderd/.config/sbuild/config.pl The Debian buildds switched to the "unshare" backend and sbuild will default to it in the future. To start using "unshare" add this to your `~/.config/sbuild/config.pl`: $chroot_mode = "unshare"; If you want to keep the old "schroot" mode even in the future, add the following to your `~/.config/sbuild/config.pl`: $chroot_mode = "schroot"; $schroot = "schroot"; sbuild: warning: descr(l1): found blank line where expected first heading sbuild (Debian sbuild) 0.89.3+deb13u1 (16 August 2025) on osuosl7-ppc64el.debian.net +==============================================================================+ | ghdl 5.0.1+dfsg-1+b1 (ppc64el) Sun, 21 Sep 2025 15:25:00 +0000 | +==============================================================================+ Package: ghdl Version: 5.0.1+dfsg-1+b1 Source Version: 5.0.1+dfsg-1 Distribution: unstable Machine Architecture: ppc64el Host Architecture: ppc64el Build Architecture: ppc64el Build Type: any I: No tarballs found in /srv/rebuilderd/.cache/sbuild I: Unpacking /srv/rebuilderd/tmp/debrebuildblcj6S/debrebuild.tar.lL9s6iqdy5A1 to /srv/rebuilderd/tmp/tmp.sbuild.Qr8xQnu8D8... I: Setting up the chroot... I: Creating chroot session... I: Setting up log color... I: Setting up apt archive... +------------------------------------------------------------------------------+ | Fetch source files Sun, 21 Sep 2025 15:25:10 +0000 | +------------------------------------------------------------------------------+ Local sources ------------- /srv/rebuilderd/tmp/rebuilderd503pmW/inputs/ghdl_5.0.1+dfsg-1.dsc exists in /srv/rebuilderd/tmp/rebuilderd503pmW/inputs; copying to chroot sbuild: warning: descr(l1): found blank line where expected first heading +------------------------------------------------------------------------------+ | Install package build dependencies Sun, 21 Sep 2025 15:25:11 +0000 | +------------------------------------------------------------------------------+ Setup apt archive ----------------- Merged Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-14, gcc-14, g++-14, gcc-14-source, libisl-dev (>= 0.20), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), texinfo, llvm-dev (<< 1:20~), clang (>= 1:3.5), zlib1g-dev, build-essential Filtered Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-14, gcc-14, g++-14, gcc-14-source, libisl-dev (>= 0.20), libmpc-dev (>= 1.0), libmpfr-dev (>= 3.0.0-9~), libgmp-dev (>= 2:5.0.1~), texinfo, llvm-dev (<< 1:20~), clang (>= 1:3.5), zlib1g-dev, build-essential dpkg-deb: building package 'sbuild-build-depends-main-dummy' in '/build/reproducible-path/resolver-McbOUk/apt_archive/sbuild-build-depends-main-dummy.deb'. Install main build dependencies (apt-based resolver) ---------------------------------------------------- Installing build dependencies +------------------------------------------------------------------------------+ | Check architectures Sun, 21 Sep 2025 15:25:15 +0000 | +------------------------------------------------------------------------------+ Arch check ok (ppc64el included in amd64 arm64 armel ppc64el ppc64) +------------------------------------------------------------------------------+ | Build environment Sun, 21 Sep 2025 15:25:16 +0000 | +------------------------------------------------------------------------------+ Kernel: Linux 6.12.43+deb13-powerpc64le-64k #1 SMP Debian 6.12.43-1 (2025-08-27) ppc64el (ppc64le) Toolchain package versions: binutils_2.44-3 dpkg-dev_1.22.18 g++-14_14.2.0-19 gcc-14_14.2.0-19 libc6-dev_2.41-6 libstdc++-14-dev_14.2.0-19 libstdc++6_14.2.0-19 linux-libc-dev_6.12.20-1 Package versions: autoconf_2.72-3 autoconf2.69_2.69-3.1 automake_1:1.17-4 autopoint_0.23.1-1 autotools-dev_20240727.1 base-files_13.7 base-passwd_3.6.7 bash_5.2.37-1.1+b1 binutils_2.44-3 binutils-common_2.44-3 binutils-powerpc64le-linux-gnu_2.44-3 bsdextrautils_2.40.4-5 bsdutils_1:2.40.4-5 build-essential_12.12 bzip2_1.0.8-6 clang_1:19.0-63 clang-19_1:19.1.7-3 coreutils_9.5-1 cpp_4:14.2.0-1 cpp-14_14.2.0-19 cpp-14-powerpc64le-linux-gnu_14.2.0-19 cpp-powerpc64le-linux-gnu_4:14.2.0-1 dash_0.5.12-12 debconf_1.5.91 debhelper_13.24.2 debianutils_5.21 dh-ada-library_9.9 dh-autoreconf_20 dh-strip-nondeterminism_1.14.1-2 diffstat_1.67-1 diffutils_1:3.10-3 dpkg_1.22.18 dpkg-dev_1.22.18 dwz_0.15-1+b1 ed_1.21.1-1 file_1:5.46-4 findutils_4.10.0-3 g++_4:14.2.0-1 g++-14_14.2.0-19 g++-14-powerpc64le-linux-gnu_14.2.0-19 g++-powerpc64le-linux-gnu_4:14.2.0-1 gawk_1:5.2.1-2+b1 gcc_4:14.2.0-1 gcc-14_14.2.0-19 gcc-14-base_14.2.0-19 gcc-14-powerpc64le-linux-gnu_14.2.0-19 gcc-14-source_14.2.0-19 gcc-powerpc64le-linux-gnu_4:14.2.0-1 gettext_0.23.1-1 gettext-base_0.23.1-1 gnat_14.1 gnat-14_14.2.0-19 gnat-14-powerpc64le-linux-gnu_14.2.0-19 grep_3.11-4 groff-base_1.23.0-7 gzip_1.13-1 hostname_3.25 init-system-helpers_1.68 intltool-debian_0.35.0+20060710.6 libacl1_2.3.2-2+b1 libarchive-zip-perl_1.68-1 libasan8_14.2.0-19 libatomic1_14.2.0-19 libattr1_1:2.5.2-3 libaudit-common_1:4.0.2-2 libaudit1_1:4.0.2-2+b2 libbinutils_2.44-3 libblkid1_2.40.4-5 libbrotli1_1.1.0-2+b7 libbsd0_0.12.2-2 libbz2-1.0_1.0.8-6 libc-bin_2.41-6 libc-dev-bin_2.41-6 libc6_2.41-6 libc6-dev_2.41-6 libcap-ng0_0.8.5-4+b1 libcap2_1:2.75-4 libcc1-0_14.2.0-19 libclang-common-19-dev_1:19.1.7-3 libclang-cpp19_1:19.1.7-3 libclang1-19_1:19.1.7-3 libcom-err2_1.47.2-1+b1 libcrypt-dev_1:4.4.38-1 libcrypt1_1:4.4.38-1 libctf-nobfd0_2.44-3 libctf0_2.44-3 libcurl4t64_8.13.0~rc3-1 libdb5.3t64_5.3.28+dfsg2-9 libdebconfclient0_0.277 libdebhelper-perl_13.24.2 libdpkg-perl_1.22.18 libedit2_3.1-20250104-1 libelf1t64_0.192-4 libexpat1_2.7.1-1 libffi-dev_3.4.7-1 libffi8_3.4.7-1 libfile-stripnondeterminism-perl_1.14.1-2 libgc1_1:8.2.8-1 libgcc-14-dev_14.2.0-19 libgcc-s1_14.2.0-19 libgdbm-compat4t64_1.24-2 libgdbm6t64_1.24-2 libgmp-dev_2:6.3.0+dfsg-3 libgmp10_2:6.3.0+dfsg-3 libgmpxx4ldbl_2:6.3.0+dfsg-3 libgnat-14_14.2.0-19 libgnutls30t64_3.8.9-2 libgomp1_14.2.0-19 libgssapi-krb5-2_1.21.3-5 libhogweed6t64_3.10.1-1 libidn2-0_2.3.8-2 libisl-dev_0.27-1 libisl23_0.27-1 libitm1_14.2.0-19 libjansson4_2.14-2+b3 libk5crypto3_1.21.3-5 libkeyutils1_1.6.3-4 libkrb5-3_1.21.3-5 libkrb5support0_1.21.3-5 libldap2_2.6.9+dfsg-2 libllvm19_1:19.1.7-3 liblsan0_14.2.0-19 liblzma5_5.6.4-1 libmagic-mgc_1:5.46-4 libmagic1t64_1:5.46-4 libmd0_1.1.0-2+b1 libmount1_2.40.4-5 libmpc-dev_1.3.1-1+b3 libmpc3_1.3.1-1+b3 libmpfr-dev_4.2.2-1 libmpfr6_4.2.2-1 libncurses-dev_6.5+20250216-2 libncurses6_6.5+20250216-2 libncursesw6_6.5+20250216-2 libnettle8t64_3.10.1-1 libnghttp2-14_1.64.0-1 libnghttp3-9_1.8.0-1 libobjc-14-dev_14.2.0-19 libobjc4_14.2.0-19 libp11-kit0_0.25.5-3 libpam-modules_1.7.0-3 libpam-modules-bin_1.7.0-3 libpam-runtime_1.7.0-3 libpam0g_1.7.0-3 libpcre2-8-0_10.45-1 libperl5.40_5.40.1-2 libpfm4_4.13.0+git99-gc5587f9-1 libpipeline1_1.5.8-1 libproc2-0_2:4.0.4-7 libpsl5t64_0.21.2-1.1+b1 libpython3-stdlib_3.13.2-2 libpython3.13-minimal_3.13.2-3 libpython3.13-stdlib_3.13.2-3 libquadmath0_14.2.0-19 libreadline8t64_8.2-6 librtmp1_2.4+20151223.gitfa8646d.1-2+b5 libsasl2-2_2.1.28+dfsg1-9 libsasl2-modules-db_2.1.28+dfsg1-9 libseccomp2_2.6.0-2 libselinux1_3.8.1-1 libsframe1_2.44-3 libsigsegv2_2.14-1+b2 libsmartcols1_2.40.4-5 libsqlite3-0_3.46.1-2 libssh2-1t64_1.11.1-1 libssl3t64_3.4.1-1 libstdc++-14-dev_14.2.0-19 libstdc++6_14.2.0-19 libsystemd0_257.4-3 libtasn1-6_4.20.0-2 libtext-charwidth-perl_0.04-11+b4 libtext-unidecode-perl_1.30-3 libtext-wrapi18n-perl_0.06-10 libtinfo6_6.5+20250216-2 libtool_2.5.4-4 libtsan2_14.2.0-19 libubsan1_14.2.0-19 libuchardet0_0.0.8-1+b2 libudev1_257.4-3 libunistring5_1.3-2 libuuid1_2.40.4-5 libxml-libxml-perl_2.0207+dfsg+really+2.0134-5+b1 libxml-namespacesupport-perl_1.12-2 libxml-sax-base-perl_1.09-3 libxml-sax-perl_1.02+dfsg-4 libxml2_2.12.7+dfsg+really2.9.14-0.4 libxml2-dev_2.12.7+dfsg+really2.9.14-0.4 libyaml-0-2_0.2.5-2 libz3-4_4.13.3-1 libz3-dev_4.13.3-1 libzstd1_1.5.7+dfsg-1 linux-libc-dev_6.12.20-1 llvm_1:19.0-63 llvm-19_1:19.1.7-3 llvm-19-dev_1:19.1.7-3 llvm-19-linker-tools_1:19.1.7-3 llvm-19-runtime_1:19.1.7-3 llvm-19-tools_1:19.1.7-3 llvm-dev_1:19.0-63 llvm-runtime_1:19.0-63 lsb-release_12.1-1 m4_1.4.19-7 make_4.4.1-1 man-db_2.13.0-1 mawk_1.3.4.20250131-1 media-types_13.0.0 ncurses-base_6.5+20250216-2 ncurses-bin_6.5+20250216-2 netbase_6.5 openssl-provider-legacy_3.4.1-1 patch_2.7.6-7 patchutils_0.4.2-1 perl_5.40.1-2 perl-base_5.40.1-2 perl-modules-5.40_5.40.1-2 po-debconf_1.0.21+nmu1 procps_2:4.0.4-7 python3_3.13.2-2 python3-minimal_3.13.2-2 python3-pygments_2.18.0+dfsg-2 python3-yaml_6.0.2-1+b2 python3.13_3.13.2-3 python3.13-minimal_3.13.2-3 quilt_0.68-1 readline-common_8.2-6 rpcsvc-proto_1.4.3-1 sed_4.9-2 sensible-utils_0.0.24 sharutils_1:4.15.2-11 sysvinit-utils_3.14-4 tar_1.35+dfsg-3.1 tex-common_6.19 texinfo_7.1.1-1 texinfo-lib_7.1.1-1+b1 time_1.9-0.2 tzdata_2025b-1 ucf_3.0050 util-linux_2.40.4-5 xz-utils_5.6.4-1 zlib1g_1:1.3.dfsg+really1.3.1-1+b1 zlib1g-dev_1:1.3.dfsg+really1.3.1-1+b1 +------------------------------------------------------------------------------+ | Build Sun, 21 Sep 2025 15:25:16 +0000 | +------------------------------------------------------------------------------+ Unpack source ------------- -----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: ghdl Binary: ghdl, ghdl-common, ghdl-mcode, ghdl-gcc, ghdl-llvm, ghdl-tools, libghdl-5-0-1, libghdl-dev Architecture: amd64 arm64 armel ppc64el ppc64 Version: 5.0.1+dfsg-1 Maintainer: Debian Electronics Team Uploaders: Andreas Bombe Homepage: https://github.com/ghdl/ghdl Standards-Version: 4.7.2 Vcs-Browser: https://salsa.debian.org/electronics-team/ghdl/ghdl Vcs-Git: https://salsa.debian.org/electronics-team/ghdl/ghdl.git Testsuite: autopkgtest Testsuite-Triggers: gcc, libc6-dev Build-Depends: debhelper-compat (= 13), dh-ada-library (>= 8.1), gnat-14, gcc-14, g++-14, gcc-14-source , libisl-dev (>= 0.20) , libmpc-dev (>= 1.0) , libmpfr-dev (>= 3.0.0-9~) , libgmp-dev (>= 2:5.0.1~) , texinfo , llvm-dev (<< 1:20~) , clang (>= 1:3.5) , zlib1g-dev Package-List: ghdl deb electronics optional arch=amd64,arm64,armel,ppc64el,ppc64 ghdl-common deb electronics optional arch=amd64,arm64,armel,ppc64el,ppc64 ghdl-gcc deb electronics optional arch=amd64,arm64,armel,ppc64el,ppc64 profile=!pkg.ghdl.nogcc ghdl-llvm deb electronics optional arch=amd64,arm64,armel,ppc64el,ppc64 profile=!pkg.ghdl.nollvm ghdl-mcode deb electronics optional arch=amd64 profile=!pkg.ghdl.nomcode ghdl-tools deb electronics optional arch=amd64,arm64,armel,ppc64el,ppc64 libghdl-5-0-1 deb electronics optional arch=amd64,arm64,armel,ppc64el,ppc64 libghdl-dev deb electronics optional arch=amd64,arm64,armel,ppc64el,ppc64 Checksums-Sha1: b8972ccfac733cfdb7cb3e3993ac3c008e3ea807 4996848 ghdl_5.0.1+dfsg.orig.tar.xz 666aabfcc6580750fee71cf00aa70f90f4f7a6d4 32524 ghdl_5.0.1+dfsg-1.debian.tar.xz Checksums-Sha256: aa1764e1104c77bac5a4cf2c438ece5c2a1b20e0424f8222ce6c14220ddb02c5 4996848 ghdl_5.0.1+dfsg.orig.tar.xz d13aecc56c7593a7e2d1bfe6927928f6491feb3061239db68f4a6742cc3e418b 32524 ghdl_5.0.1+dfsg-1.debian.tar.xz Files: 1d46b6356ed9bca79ae327a43d83f96a 4996848 ghdl_5.0.1+dfsg.orig.tar.xz cb37bc219c336e1c7ec4e42461b7c714 32524 ghdl_5.0.1+dfsg-1.debian.tar.xz -----BEGIN PGP SIGNATURE----- iQIzBAEBCgAdFiEE/fJ3FAtt+ejNyKmCMWRwWx2jHUwFAmfm5vEACgkQMWRwWx2j HUxn9g//XrToPboooBatvCL0fwgz23lALJoiC649UV+kswhHeH4XPV2Q5+zc57Et GWr7VRMWmOIS6dfXQUH5631TTckU8iqr4wy3KbbYJa/SaLxwxwKLeWLoKSsVQAol 1eCJ2MTjuoYkTr95ueX2yZvTgnge3GSOldVsKJIf3ZAsFtZMlbqs7oXkcSGH5YkP NxYvObHJbFbOwgrPac0ioU4GCGBb3FoPP6+MgN2NaSSeic9mhs4k+KxmJ+R4Sd+i p6ZXLyjfyrg/zghOyVZ/5BKcZYtTaSDobRz1W7lYcQri2+3v//Ml/vrZMAaqBDll Yu0i2oaXCnTugfgKYd7D8KI7OXnQ2O7vq75lv2hFBHsoOH9Y8oySJJrPnUXPs281 gCHFmXJNottVDTw4u3Z4GraAWslUCLNaDXl0n58aLMMpsPVEEQCHOVufvgNcCmAx t5aU6vyYIkK2XbwGwTbASwmOV8G/000nbiNEbcAu38qzHBbH4Y69mXyMfTwaebTM JTYkni9yvaV9YNcsYj8NJ+IH6f2ffyzZ9bgC7JrhFKkhMoUVn/NIJYq9K9nxoHms qOPM41iYGOvdfY/8cgoWuA5MDij89g0PczzplqywmVW1OQTa33/sTmaUP0PrUIRp 3fypBSZJDeXTSVMZlv/icZeooU6+sgdZUXG/SqMtPcKAtWzJIcM= =eASM -----END PGP SIGNATURE----- dpkg-source: warning: cannot verify inline signature for ./ghdl_5.0.1+dfsg-1.dsc: unsupported subcommand dpkg-source: info: extracting ghdl in /build/reproducible-path/ghdl-5.0.1+dfsg dpkg-source: info: unpacking ghdl_5.0.1+dfsg.orig.tar.xz dpkg-source: info: unpacking ghdl_5.0.1+dfsg-1.debian.tar.xz dpkg-source: info: using patch list from debian/patches/series dpkg-source: info: applying modify-install-paths dpkg-source: info: applying adjust-compile-flags dpkg-source: info: applying extend-ghdl-manpage dpkg-source: info: applying skip-unsupported-tests dpkg-source: info: applying testsuite-pass-parameters dpkg-source: info: applying fix-testsuite-stderr-output dpkg-source: info: applying gcc-distro-specs dpkg-source: info: applying allow-non-linux-mcode dpkg-source: info: applying sparc-memmodel-include dpkg-source: info: applying avoid-have-backtrace dpkg-source: info: applying collect-gcc-options Check disk space ---------------- Sufficient free space for build Hack binNMU version ------------------- Created changelog entry for binNMU version 5.0.1+dfsg-1+b1 User Environment ---------------- APT_CONFIG=/var/lib/sbuild/apt.conf DEB_BUILD_OPTIONS=parallel=8 HOME=/sbuild-nonexistent LANG=C.UTF-8 LC_ALL=C.UTF-8 LC_COLLATE=C.UTF-8 LC_CTYPE=C.UTF-8 LOGNAME=sbuild PATH=/usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin:/bin:/usr/games SHELL=/bin/sh SOURCE_DATE_EPOCH=1743278974 USER=sbuild dpkg-buildpackage ----------------- Command: dpkg-buildpackage --sanitize-env -us -uc -B dpkg-buildpackage: info: source package ghdl dpkg-buildpackage: info: source version 5.0.1+dfsg-1+b1 dpkg-buildpackage: info: source distribution sid dpkg-buildpackage: info: source changed by ppc64el Build Daemon (ppc64el-osuosl-02) dpkg-source --before-build . dpkg-buildpackage: info: host architecture ppc64el debian/rules clean dh clean debian/rules override_dh_auto_clean make[1]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg' # dh_auto_clean complains about python-distutils and fails if it # doesn't see a Makefile, so override the default build system. dh_auto_clean --buildsystem=makefile make[1]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg' debian/rules override_dh_clean make[1]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg' # An .orig file exists in the release, don't delete it to not create # spurious differences to the tarball/git. dh_clean -Xtestsuite/synth/synth14/top.vhdl.orig make[1]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg' debian/rules binary-arch dh binary-arch dh_update_autotools_config -a dh_autoreconf -a debian/rules override_dh_auto_configure make[1]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg' dh_testdir mkdir -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/stamps ------------------------------------------------------------ Configuring with gcc backend ------------------------------------------------------------ # gcc unpack sequence cribbed from gcc-7-cross debian/rules # We have to disable the gcc-verbose-lto-link patch since it replaces # the LLINKER value with one that runs the linker under /usr/bin/time # and the spaces are not escaped properly somewhere on the ghdl side. set -e && \ cd /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc && \ ln -sf /usr/src/gcc-14/gcc-*.tar.* && \ cp -a /usr/src/gcc-14/debian/ . && \ if [ -n "$(grep -v '^\#' /build/reproducible-path/ghdl-5.0.1+dfsg/debian/gcc-patches/gcc-14/series)" ]; then \ cp -n /build/reproducible-path/ghdl-5.0.1+dfsg/debian/gcc-patches/gcc-14/*.diff debian/patches/ && \ cat /build/reproducible-path/ghdl-5.0.1+dfsg/debian/gcc-patches/gcc-14/series >> debian/patches/series && \ sed -i "s/\(^series_stamp.*\)/debian_patches += $(grep -v '^#' /build/reproducible-path/ghdl-5.0.1+dfsg/debian/gcc-patches/gcc-14/series|sed 's/\..*//'|tr '\n' ' ')\n\n\1/" debian/rules.patch ; \ fi && \ echo -n > /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/debian/patches/gcc-verbose-lto-link.diff && \ debian/rules patch && \ ../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --libnativedir=lib/powerpc64le-linux-gnu --enable-gplcompat \ --libdir=lib/ghdl/gcc \ --libghdldir=lib/ghdl/gcc/vhdl \ --with-gcc=src && \ make copy-sources && \ touch src/gcc/vhdl/lang.opt.urls && \ /usr/bin/make -f debian/rules2 configure PF=usr/lib/ghdl/gcc with_bootstrap=off \ with_cc1=no with_dev=no separate_lang=yes enabled_languages=vhdl \ with_common_libs=no with_common_pkgs=no \ with_check="skipped for GHDL build" grep: /build/reproducible-path/ghdl-5.0.1+dfsg/debian/gcc-patches/gcc-14/series: No such file or directory make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' Build environment: derivative=Debian, release=trixie : # unpack gcc tarball mkdir -p stamps if [ -d /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src ]; then \ echo >&2 "Source directory /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src exists. Delete by hand"; \ false; \ fi rm -rf gcc-14.2.0 tar -x -f gcc-14.2.0-dfsg.tar.xz mv gcc-14.2.0 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src ln -sf libsanitizer /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/libasan rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/doc/*.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/doc/fsf-funding.7 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/doc/*.info rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/fortran/*.info rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/libgomp/*.info rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/libquadmath/*.info for i in gcc/doc/analyzer.texi gcc/doc/avr-mmcu.texi gcc/doc/bugreport.texi gcc/doc/cfg.texi gcc/doc/collect2.texi gcc/doc/compat.texi gcc/doc/configfiles.texi gcc/doc/configterms.texi gcc/doc/contrib.texi gcc/doc/contribute.texi gcc/doc/cpp.texi gcc/doc/cppdiropts.texi gcc/doc/cppenv.texi gcc/doc/cppinternals.texi gcc/doc/cppopts.texi gcc/doc/cppwarnopts.texi gcc/doc/extend.texi gcc/doc/fragments.texi gcc/doc/frontends.texi gcc/doc/gccint.texi gcc/doc/gcov.texi gcc/doc/gcov-dump.texi gcc/doc/gcov-tool.texi gcc/doc/generic.texi gcc/doc/gimple.texi gcc/doc/gnu.texi gcc/doc/gty.texi gcc/doc/headerdirs.texi gcc/doc/hostconfig.texi gcc/doc/implement-c.texi gcc/doc/implement-cxx.texi gcc/doc/install-old.texi gcc/doc/install.texi gcc/doc/interface.texi gcc/doc/invoke.texi gcc/doc/languages.texi gcc/doc/libgcc.texi gcc/doc/loop.texi gcc/doc/lto.texi gcc/doc/makefile.texi gcc/doc/match-and-simplify.texi gcc/doc/md.texi gcc/doc/objc.texi gcc/doc/optinfo.texi gcc/doc/options.texi gcc/doc/passes.texi gcc/doc/plugins.texi gcc/doc/poly-int.texi gcc/doc/portability.texi gcc/doc/rtl.texi gcc/doc/service.texi gcc/doc/sourcebuild.texi gcc/doc/standards.texi gcc/doc/tm.texi.in gcc/doc/tm.texi gcc/doc/tree-ssa.texi gcc/doc/trouble.texi gcc/doc/ux.texi gcc/doc/include/gcc-common.texi gcc/doc/include/funding.texi gcc/fortran/gfc-internals.texi gcc/fortran/invoke.texi gcc/fortran/intrinsic.texi ; do \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/$i ]; then \ cp debian/dummy.texi /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/$i; \ else \ cp debian/dummy.texi /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/$i; \ echo >&2 "$i does not exist, fix debian/rules.unpack"; \ fi; \ done ( \ echo '@include gcc-vers.texi'; \ echo '@macro versionsubtitle'; \ echo '@subtitle For @sc{gcc} version @value{version-GCC}'; \ echo '@vskip 0pt plus 1filll'; \ echo '@end macro'; \ ) > /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/doc/include/gcc-common.texi for i in gcc/doc/gcc.texi gcc/doc/lto-dump.texi gcc/ada/gnat-style.texi gcc/ada/gnat_rm.texi gcc/ada/gnat_ugn.texi gcc/fortran/gfortran.texi gcc/go/gccgo.texi libgomp/libgomp.texi libquadmath/libquadmath.texi ; do \ n=$(basename $i .texi); \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/$i ]; then \ sed "s/@name@/$n/g" debian/gcc-dummy.texi \ > /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/$i; \ else \ sed "s/@name@/$n/g" debian/gcc-dummy.texi \ > /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/$i; \ echo >&2 "$i does not exist, fix debian/rules.unpack"; \ fi; \ done for i in gcc/doc/cpp.1 gcc/doc/g++.1 gcc/doc/gc-analyze.1 gcc/doc/gcc.1 gcc/doc/gccgo.1 gcc/doc/gcov.1 gcc/doc/gcov-dump.1 gcc/doc/gcov-tool.1 gcc/doc/gfortran.1 gcc/lto/lto-dump.1 gcc/doc/fsf-funding.7 ; do \ touch /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/$i; \ done rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/INSTALL/*.html rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/zlib/contrib/dotzlib/DotZLib.chm echo "gcc-14.2.0-dfsg.tar.xz unpacked." > stamps/01-unpack-stamp-gcc-14.2.0-dfsg.tar.xz echo -e "\nBuilt from Debian source package gcc-14-14.2.0-19" \ > pxxx echo -e "Integrated upstream packages in this version:\n" >> pxxx for i in gcc-14.2.0-dfsg.tar.xz ; do echo " $i" >> pxxx; done mv -f pxxx stamps/01-unpack-stamp echo git-updates.diff gcc-gfdl-build.diff 0004-Ada-merge-all-timeval-and-timespec-definitions-and-c.diff 0009-Ada-select-64-bits-time-functions-from-GNU-libc-when.diff gcc-textdomain.diff gcc-distro-specs.diff gcc-driver-extra-langs.diff gcc-hash-style-gnu.diff libstdc++-doclink.diff libstdc++-man-3cxx.diff libstdc++-test-installed.diff libstdc++-doxygen-SOURCE_DATE_EPOCH.diff alpha-no-ev4-directive.diff note-gnu-stack.diff libgomp-omp_h-multilib.diff libgo-testsuite.diff libgo-cleanfiles.diff gcc-target-include-asm.diff libgo-revert-timeout-exp.diff libgo-setcontext-config.diff gcc-auto-build.diff libitm-no-fortify-source.diff sparc64-biarch-long-double-128.diff pr66368.diff pr67590.diff libffi-race-condition.diff cuda-float128.diff t-libunwind-elf-Wl-z-defs.diff gcc-force-cross-layout.diff gcc-search-prefixed-as-ld.diff pr87808.diff pr94253.diff gcc-arm-disable-guality-tests.diff musl-ssp.diff pr79724-revert.diff pr104290-followup.diff arc-stddef.diff pr107475.diff gccrs-bootstrap-mipsel.diff hppa64-libgcov-fallback.diff libsanitizer-timebits.diff gcc-vhdl.diff libgo-hurd-syscall.diff gcc-no-multilib-dejagnu.diff libphobos-unittest.diff pr118045.diff binutils-pr32491.diff pr118501.diff pr99832-distro.diff gm2-texinfo.diff ada-gcc-name.diff ada-gnat-name.diff ada-verbose.diff ada-link-lib.diff ada-gnattools-cross.diff ada-lib-info-source-date-epoch.diff ada-749574.diff ada-perl-shebang.diff ada-hurd-amd64.diff gdc-texinfo.diff disable-gdc-tests.diff alpha-ieee.diff gdc-dynamic-link-phobos.diff ia64-disable-selective-scheduling.diff libstdc++-pythondir.diff gcc-verbose-lto-link.diff ada-armel-libatomic.diff hurd-multiarch.diff hurd-multilib-multiarch.diff gcc-ice-dump.diff gcc-ice-apport.diff skip-bootstrap-multilib.diff libffi-ro-eh_frame_sect.diff gcc-multiarch.diff config-ml.diff g++-multiarch-incdir.diff canonical-cpppath.diff gcc-multilib-multiarch.diff gcc-as-needed.diff gcc-as-needed-gold.diff go-testsuite.diff \ | sed -r 's/ +/ /g' | tr " " "\n" > debian/patches/series sed -r 's/(.)$/\1 -p1/' -i debian/patches/series touch stamps/02-series-stamp sync QUILT_PATCHES=debian/patches QUILT_PATCH_OPTS='-E' \ quilt --quiltrc /dev/null push -a || test $? = 2 Applying patch git-updates.diff patching file src/gcc/ChangeLog patching file src/gcc/DATESTAMP patching file src/gcc/Makefile.in patching file src/gcc/ada/ChangeLog patching file src/gcc/ada/checks.adb patching file src/gcc/ada/exp_aggr.adb patching file src/gcc/ada/exp_aggr.ads patching file src/gcc/ada/exp_ch3.adb patching file src/gcc/ada/exp_ch7.adb patching file src/gcc/ada/exp_put_image.adb patching file src/gcc/ada/exp_util.adb patching file src/gcc/ada/freeze.adb patching file src/gcc/ada/gcc-interface/trans.cc patching file src/gcc/ada/gnatvsn.ads patching file src/gcc/ada/libgnarl/s-taprop__dummy.adb patching file src/gcc/ada/libgnat/a-ngrear.adb patching file src/gcc/ada/par-ch6.adb patching file src/gcc/ada/sem_ch12.adb patching file src/gcc/ada/sem_res.adb patching file src/gcc/ada/sem_warn.adb patching file src/gcc/ada/version.c patching file src/gcc/analyzer/ChangeLog patching file src/gcc/analyzer/analyzer.cc patching file src/gcc/analyzer/analyzer.h patching file src/gcc/analyzer/engine.cc patching file src/gcc/analyzer/kf.cc patching file src/gcc/analyzer/known-function-manager.cc patching file src/gcc/analyzer/known-function-manager.h patching file src/gcc/analyzer/sm-file.cc patching file src/gcc/analyzer/sm-malloc.cc patching file src/gcc/analyzer/sm-signal.cc patching file src/gcc/asan.cc patching file src/gcc/auto-profile.cc patching file src/gcc/builtins.cc patching file src/gcc/c-family/ChangeLog patching file src/gcc/c-family/c-ada-spec.cc patching file src/gcc/c-family/c-common.cc patching file src/gcc/c-family/c-cppbuiltin.cc patching file src/gcc/c-family/c-warn.cc patching file src/gcc/c/ChangeLog patching file src/gcc/c/c-parser.cc patching file src/gcc/c/c-typeck.cc patching file src/gcc/cfgexpand.cc patching file src/gcc/cgraph.cc patching file src/gcc/combine.cc patching file src/gcc/common/config/i386/cpuinfo.h patching file src/gcc/common/config/i386/i386-common.cc patching file src/gcc/common/config/i386/i386-isas.h patching file src/gcc/config.gcc patching file src/gcc/config/aarch64/aarch64-builtins.cc patching file src/gcc/config/aarch64/aarch64-cores.def patching file src/gcc/config/aarch64/aarch64-early-ra.cc patching file src/gcc/config/aarch64/aarch64-freebsd.h patching file src/gcc/config/aarch64/aarch64-ldp-fusion.cc patching file src/gcc/config/aarch64/aarch64-protos.h patching file src/gcc/config/aarch64/aarch64-sve-builtins-base.cc patching file src/gcc/config/aarch64/aarch64-sve-builtins-base.def patching file src/gcc/config/aarch64/aarch64-sve-builtins-sve2.cc patching file src/gcc/config/aarch64/aarch64-sve-builtins-sve2.def patching file src/gcc/config/aarch64/aarch64-sve-builtins-sve2.h patching file src/gcc/config/aarch64/aarch64-sve-builtins.cc patching file src/gcc/config/aarch64/aarch64-sve2.md patching file src/gcc/config/aarch64/aarch64-tune.md patching file src/gcc/config/aarch64/aarch64.cc patching file src/gcc/config/aarch64/aarch64.h patching file src/gcc/config/aarch64/aarch64.md patching file src/gcc/config/aarch64/arm_acle.h patching file src/gcc/config/aarch64/driver-aarch64.cc patching file src/gcc/config/aarch64/tuning_models/fujitsu_monaka.h patching file src/gcc/config/arm/arm-mve-builtins.cc patching file src/gcc/config/arm/arm-protos.h patching file src/gcc/config/arm/arm.cc patching file src/gcc/config/arm/arm_mve.h patching file src/gcc/config/arm/arm_mve_types.h patching file src/gcc/config/arm/arm_neon.h patching file src/gcc/config/arm/constraints.md patching file src/gcc/config/arm/freebsd.h patching file src/gcc/config/arm/predicates.md patching file src/gcc/config/arm/sync.md patching file src/gcc/config/arm/t-rtems patching file src/gcc/config/avr/avr-modes.def patching file src/gcc/config/avr/avr-protos.h patching file src/gcc/config/avr/avr.cc patching file src/gcc/config/avr/avr.md patching file src/gcc/config/darwin.h patching file src/gcc/config/darwin.opt patching file src/gcc/config/freebsd-spec.h patching file src/gcc/config/i386/avx512bwintrin.h patching file src/gcc/config/i386/avx512fp16intrin.h patching file src/gcc/config/i386/cmpccxaddintrin.h patching file src/gcc/config/i386/constraints.md patching file src/gcc/config/i386/freebsd.h patching file src/gcc/config/i386/freebsd64.h patching file src/gcc/config/i386/i386-builtin.def patching file src/gcc/config/i386/i386-expand.cc patching file src/gcc/config/i386/i386-features.cc patching file src/gcc/config/i386/i386-options.cc patching file src/gcc/config/i386/i386-passes.def patching file src/gcc/config/i386/i386-protos.h patching file src/gcc/config/i386/i386.cc patching file src/gcc/config/i386/i386.h patching file src/gcc/config/i386/i386.md patching file src/gcc/config/i386/i386.opt patching file src/gcc/config/i386/i386.opt.urls patching file src/gcc/config/i386/mmx.md patching file src/gcc/config/i386/prfchiintrin.h patching file src/gcc/config/i386/sse.md patching file src/gcc/config/i386/subst.md patching file src/gcc/config/i386/x86-tune-costs.h patching file src/gcc/config/i386/x86-tune-sched.cc patching file src/gcc/config/i386/x86-tune.def patching file src/gcc/config/loongarch/genopts/gen-evolution.awk patching file src/gcc/config/loongarch/lasx.md patching file src/gcc/config/loongarch/lasxintrin.h patching file src/gcc/config/loongarch/loongarch-builtins.cc patching file src/gcc/config/loongarch/loongarch-c.cc patching file src/gcc/config/loongarch/lsx.md patching file src/gcc/config/loongarch/lsxintrin.h patching file src/gcc/config/loongarch/simd.md patching file src/gcc/config/nvptx/nvptx.cc patching file src/gcc/config/pa/pa.cc patching file src/gcc/config/pa/pa.h patching file src/gcc/config/pa/pa.md patching file src/gcc/config/pa/pa32-regs.h patching file src/gcc/config/pa/predicates.md patching file src/gcc/config/riscv/freebsd.h patching file src/gcc/config/riscv/riscv-c.cc patching file src/gcc/config/riscv/thead.cc patching file src/gcc/config/rs6000/aix71.h patching file src/gcc/config/rs6000/aix72.h patching file src/gcc/config/rs6000/aix73.h patching file src/gcc/config/rs6000/amo.h patching file src/gcc/config/rs6000/driver-rs6000.cc patching file src/gcc/config/rs6000/freebsd64.h patching file src/gcc/config/rs6000/power10.md patching file src/gcc/config/rs6000/ppc-auxv.h patching file src/gcc/config/rs6000/rs6000-builtin.cc patching file src/gcc/config/rs6000/rs6000-builtins.def patching file src/gcc/config/rs6000/rs6000-c.cc patching file src/gcc/config/rs6000/rs6000-cpus.def patching file src/gcc/config/rs6000/rs6000-logue.cc patching file src/gcc/config/rs6000/rs6000-opts.h patching file src/gcc/config/rs6000/rs6000-p8swap.cc patching file src/gcc/config/rs6000/rs6000-string.cc patching file src/gcc/config/rs6000/rs6000-tables.opt patching file src/gcc/config/rs6000/rs6000.cc patching file src/gcc/config/rs6000/rs6000.h patching file src/gcc/config/rs6000/rs6000.md patching file src/gcc/config/rs6000/rs6000.opt patching file src/gcc/config/rs6000/sysv4.h patching file src/gcc/config/s390/s390-builtin-types.def patching file src/gcc/config/s390/s390-builtins.def patching file src/gcc/config/s390/s390-protos.h patching file src/gcc/config/s390/s390.cc patching file src/gcc/config/s390/s390.md patching file src/gcc/config/s390/vecintrin.h patching file src/gcc/config/s390/vector.md patching file src/gcc/config/sh/sh.cc patching file src/gcc/config/v850/v850.opt.urls patching file src/gcc/config/vax/vax.opt.urls patching file src/gcc/cp/ChangeLog patching file src/gcc/cp/call.cc patching file src/gcc/cp/constexpr.cc patching file src/gcc/cp/constraint.cc patching file src/gcc/cp/coroutines.cc patching file src/gcc/cp/cp-tree.h patching file src/gcc/cp/decl.cc patching file src/gcc/cp/decl2.cc patching file src/gcc/cp/init.cc patching file src/gcc/cp/lambda.cc patching file src/gcc/cp/logic.cc patching file src/gcc/cp/method.cc patching file src/gcc/cp/module.cc patching file src/gcc/cp/name-lookup.cc patching file src/gcc/cp/optimize.cc patching file src/gcc/cp/parser.cc patching file src/gcc/cp/pt.cc patching file src/gcc/cp/semantics.cc patching file src/gcc/cp/tree.cc patching file src/gcc/cp/typeck.cc patching file src/gcc/cp/typeck2.cc patching file src/gcc/cse.cc patching file src/gcc/cselib.cc patching file src/gcc/d/ChangeLog patching file src/gcc/d/Make-lang.in patching file src/gcc/d/d-codegen.cc patching file src/gcc/d/d-ctfloat.cc patching file src/gcc/d/d-longdouble.cc patching file src/gcc/d/d-port.cc patching file src/gcc/d/decl.cc patching file src/gcc/d/dmd/enumsem.d patching file src/gcc/d/dmd/expressionsem.d patching file src/gcc/d/expr.cc patching file src/gcc/d/typeinfo.cc patching file src/gcc/diagnostic-format-sarif.cc patching file src/gcc/except.cc patching file src/gcc/explow.cc patching file src/gcc/explow.h patching file src/gcc/expr.cc patching file src/gcc/fold-const.cc patching file src/gcc/fortran/ChangeLog patching file src/gcc/fortran/class.cc patching file src/gcc/fortran/decl.cc patching file src/gcc/fortran/frontend-passes.cc patching file src/gcc/fortran/gfortran.h patching file src/gcc/fortran/interface.cc patching file src/gcc/fortran/match.h patching file src/gcc/fortran/primary.cc patching file src/gcc/fortran/resolve.cc patching file src/gcc/fortran/simplify.cc patching file src/gcc/fortran/trans-array.cc patching file src/gcc/fortran/trans-decl.cc patching file src/gcc/fortran/trans-expr.cc patching file src/gcc/fortran/trans-io.cc patching file src/gcc/fortran/trans-types.cc patching file src/gcc/function.cc patching file src/gcc/gcc.cc patching file src/gcc/genautomata.cc patching file src/gcc/gimple-expr.cc patching file src/gcc/gimple-loop-jam.cc patching file src/gcc/gimple-lower-bitint.cc patching file src/gcc/gimple-match-exports.cc patching file src/gcc/gimple-range-cache.cc patching file src/gcc/gimple-range-edge.cc patching file src/gcc/gimple-range-fold.cc patching file src/gcc/gimple-range-fold.h patching file src/gcc/gimple-range.cc patching file src/gcc/gimple-range.h patching file src/gcc/gimple-ssa-isolate-paths.cc patching file src/gcc/gimple-ssa-sccopy.cc patching file src/gcc/gimple-ssa-store-merging.cc patching file src/gcc/gimple-ssa-strength-reduction.cc patching file src/gcc/gimple-ssa-warn-access.cc patching file src/gcc/gimplify.cc patching file src/gcc/go/gofrontend/expressions.cc patching file src/gcc/hwint.h patching file src/gcc/ipa-free-lang-data.cc patching file src/gcc/ipa-icf-gimple.cc patching file src/gcc/ipa-modref.cc patching file src/gcc/ipa-sra.cc patching file src/gcc/ipa-visibility.cc patching file src/gcc/jit/ChangeLog patching file src/gcc/jit/jit-playback.cc patching file src/gcc/jit/jit-result.cc patching file src/gcc/loop-doloop.cc patching file src/gcc/loop-iv.cc patching file src/gcc/lra-constraints.cc patching file src/gcc/lto-cgraph.cc patching file src/gcc/lto-wrapper.cc patching file src/gcc/m2/ChangeLog patching file src/gcc/m2/Make-lang.in patching file src/gcc/m2/Make-maintainer.in patching file src/gcc/m2/gm2-compiler/M2ALU.def patching file src/gcc/m2/gm2-compiler/M2ALU.mod patching file src/gcc/m2/gm2-compiler/M2Base.def patching file src/gcc/m2/gm2-compiler/M2Base.mod patching file src/gcc/m2/gm2-compiler/M2BasicBlock.def patching file src/gcc/m2/gm2-compiler/M2BasicBlock.mod patching file src/gcc/m2/gm2-compiler/M2Bitset.mod patching file src/gcc/m2/gm2-compiler/M2CaseList.mod patching file src/gcc/m2/gm2-compiler/M2Check.mod patching file src/gcc/m2/gm2-compiler/M2Code.mod patching file src/gcc/m2/gm2-compiler/M2Comp.mod patching file src/gcc/m2/gm2-compiler/M2Emit.def patching file src/gcc/m2/gm2-compiler/M2Error.def patching file src/gcc/m2/gm2-compiler/M2GCCDeclare.def patching file src/gcc/m2/gm2-compiler/M2GCCDeclare.mod patching file src/gcc/m2/gm2-compiler/M2GenGCC.def patching file src/gcc/m2/gm2-compiler/M2GenGCC.mod patching file src/gcc/m2/gm2-compiler/M2LangDump.def patching file src/gcc/m2/gm2-compiler/M2LangDump.mod patching file src/gcc/m2/gm2-compiler/M2LexBuf.def patching file src/gcc/m2/gm2-compiler/M2LexBuf.mod patching file src/gcc/m2/gm2-compiler/M2MetaError.def patching file src/gcc/m2/gm2-compiler/M2MetaError.mod patching file src/gcc/m2/gm2-compiler/M2Options.def patching file src/gcc/m2/gm2-compiler/M2Options.mod patching file src/gcc/m2/gm2-compiler/M2Quads.def patching file src/gcc/m2/gm2-compiler/M2Quads.mod patching file src/gcc/m2/gm2-compiler/M2Range.def patching file src/gcc/m2/gm2-compiler/M2Range.mod patching file src/gcc/m2/gm2-compiler/M2Reserved.def patching file src/gcc/m2/gm2-compiler/M2Reserved.mod patching file src/gcc/m2/gm2-compiler/M2Scaffold.mod patching file src/gcc/m2/gm2-compiler/M2Size.mod patching file src/gcc/m2/gm2-compiler/M2StackAddress.mod patching file src/gcc/m2/gm2-compiler/M2StateCheck.def patching file src/gcc/m2/gm2-compiler/M2StateCheck.mod patching file src/gcc/m2/gm2-compiler/M2Students.def patching file src/gcc/m2/gm2-compiler/M2Students.mod patching file src/gcc/m2/gm2-compiler/M2Swig.mod patching file src/gcc/m2/gm2-compiler/M2SymInit.mod patching file src/gcc/m2/gm2-compiler/M2System.def patching file src/gcc/m2/gm2-compiler/M2System.mod patching file src/gcc/m2/gm2-compiler/P0SymBuild.def patching file src/gcc/m2/gm2-compiler/P0SymBuild.mod patching file src/gcc/m2/gm2-compiler/P0SyntaxCheck.bnf patching file src/gcc/m2/gm2-compiler/P1Build.bnf patching file src/gcc/m2/gm2-compiler/P1SymBuild.def patching file src/gcc/m2/gm2-compiler/P1SymBuild.mod patching file src/gcc/m2/gm2-compiler/P2Build.bnf patching file src/gcc/m2/gm2-compiler/P2SymBuild.def patching file src/gcc/m2/gm2-compiler/P2SymBuild.mod patching file src/gcc/m2/gm2-compiler/P3Build.bnf patching file src/gcc/m2/gm2-compiler/P3SymBuild.def patching file src/gcc/m2/gm2-compiler/P3SymBuild.mod patching file src/gcc/m2/gm2-compiler/PCBuild.bnf patching file src/gcc/m2/gm2-compiler/PCSymBuild.def patching file src/gcc/m2/gm2-compiler/PCSymBuild.mod patching file src/gcc/m2/gm2-compiler/PHBuild.bnf patching file src/gcc/m2/gm2-compiler/SymbolConversion.def patching file src/gcc/m2/gm2-compiler/SymbolConversion.mod patching file src/gcc/m2/gm2-compiler/SymbolTable.def patching file src/gcc/m2/gm2-compiler/SymbolTable.mod patching file src/gcc/m2/gm2-compiler/m2flex.def patching file src/gcc/m2/gm2-compiler/ppg.mod patching file src/gcc/m2/gm2-gcc/CDataTypes.def patching file src/gcc/m2/gm2-gcc/gcctypes.def patching file src/gcc/m2/gm2-gcc/init.cc patching file src/gcc/m2/gm2-gcc/init.def patching file src/gcc/m2/gm2-gcc/m2block.def patching file src/gcc/m2/gm2-gcc/m2builtins.cc patching file src/gcc/m2/gm2-gcc/m2builtins.def patching file src/gcc/m2/gm2-gcc/m2color.cc patching file src/gcc/m2/gm2-gcc/m2color.h patching file src/gcc/m2/gm2-gcc/m2convert.def patching file src/gcc/m2/gm2-gcc/m2decl.cc patching file src/gcc/m2/gm2-gcc/m2decl.def patching file src/gcc/m2/gm2-gcc/m2decl.h patching file src/gcc/m2/gm2-gcc/m2except.def patching file src/gcc/m2/gm2-gcc/m2expr.def patching file src/gcc/m2/gm2-gcc/m2linemap.cc patching file src/gcc/m2/gm2-gcc/m2linemap.def patching file src/gcc/m2/gm2-gcc/m2misc.def patching file src/gcc/m2/gm2-gcc/m2pp.def patching file src/gcc/m2/gm2-gcc/m2statement.cc patching file src/gcc/m2/gm2-gcc/m2statement.def patching file src/gcc/m2/gm2-gcc/m2statement.h patching file src/gcc/m2/gm2-gcc/m2tree.def patching file src/gcc/m2/gm2-gcc/m2treelib.def patching file src/gcc/m2/gm2-gcc/m2type.cc patching file src/gcc/m2/gm2-gcc/m2type.def patching file src/gcc/m2/gm2-gcc/m2type.h patching file src/gcc/m2/gm2-lang.cc patching file src/gcc/m2/gm2-lang.h patching file src/gcc/m2/gm2-libs-ch/SysExceptions.c patching file src/gcc/m2/gm2-libs-ch/UnixArgs.cc patching file src/gcc/m2/gm2-libs-ch/dtoa.cc patching file src/gcc/m2/gm2-libs-ch/ldtoa.cc patching file src/gcc/m2/gm2-libs-ch/m2rts.h patching file src/gcc/m2/gm2-libs-ch/termios.c patching file src/gcc/m2/gm2-libs-coroutines/SYSTEM.mod patching file src/gcc/m2/gm2-libs-iso/EXCEPTIONS.mod patching file src/gcc/m2/gm2-libs-iso/IOChan.mod patching file src/gcc/m2/gm2-libs-iso/IOLink.mod patching file src/gcc/m2/gm2-libs-iso/LongConv.mod patching file src/gcc/m2/gm2-libs-iso/M2EXCEPTION.mod patching file src/gcc/m2/gm2-libs-iso/RealConv.mod patching file src/gcc/m2/gm2-libs-iso/RndFile.mod patching file src/gcc/m2/gm2-libs-iso/ShortConv.mod patching file src/gcc/m2/gm2-libs-iso/StdChans.mod patching file src/gcc/m2/gm2-libs-iso/TermFile.mod patching file src/gcc/m2/gm2-libs-iso/TextIO.mod patching file src/gcc/m2/gm2-libs-iso/TextUtil.def patching file src/gcc/m2/gm2-libs-iso/TextUtil.mod patching file src/gcc/m2/gm2-libs-iso/WholeConv.mod patching file src/gcc/m2/gm2-libs-log/FileSystem.def patching file src/gcc/m2/gm2-libs-min/M2RTS.mod patching file src/gcc/m2/gm2-libs/Builtins.def patching file src/gcc/m2/gm2-libs/Builtins.mod patching file src/gcc/m2/gm2-libs/M2RTS.mod patching file src/gcc/m2/gm2-libs/cbuiltin.def patching file src/gcc/m2/gm2-libs/dtoa.def patching file src/gcc/m2/gm2-libs/ldtoa.def patching file src/gcc/m2/gm2-libs/libc.def patching file src/gcc/m2/gm2spec.cc patching file src/gcc/m2/images/LICENSE.IMG patching file src/gcc/m2/m2-tree.def patching file src/gcc/m2/m2.flex patching file src/gcc/m2/mc-boot-ch/GSYSTEM.c patching file src/gcc/m2/mc-boot-ch/GSYSTEM.h patching file src/gcc/m2/mc-boot-ch/GSelective.c patching file src/gcc/m2/mc-boot-ch/GSysExceptions.c patching file src/gcc/m2/mc-boot-ch/GSysExceptions.h patching file src/gcc/m2/mc-boot-ch/Gdtoa.cc patching file src/gcc/m2/mc-boot-ch/Gerrno.cc patching file src/gcc/m2/mc-boot-ch/Gldtoa.cc patching file src/gcc/m2/mc-boot-ch/Glibc.c patching file src/gcc/m2/mc-boot-ch/Gm2rtsdummy.cc patching file src/gcc/m2/mc-boot-ch/Gtermios.cc patching file src/gcc/m2/mc-boot-ch/Gtermios.h patching file src/gcc/m2/mc-boot-ch/m2rts.h patching file src/gcc/m2/mc-boot/GASCII.cc patching file src/gcc/m2/mc-boot/GArgs.cc patching file src/gcc/m2/mc-boot/GAssertion.cc patching file src/gcc/m2/mc-boot/GBreak.cc patching file src/gcc/m2/mc-boot/GCmdArgs.cc patching file src/gcc/m2/mc-boot/GDebug.cc patching file src/gcc/m2/mc-boot/GDynamicStrings.cc patching file src/gcc/m2/mc-boot/GDynamicStrings.h patching file src/gcc/m2/mc-boot/GEnvironment.cc patching file src/gcc/m2/mc-boot/GFIO.cc patching file src/gcc/m2/mc-boot/GFormatStrings.cc patching file src/gcc/m2/mc-boot/GFpuIO.cc patching file src/gcc/m2/mc-boot/GIO.cc patching file src/gcc/m2/mc-boot/GIndexing.cc patching file src/gcc/m2/mc-boot/GM2Dependent.cc patching file src/gcc/m2/mc-boot/GM2EXCEPTION.cc patching file src/gcc/m2/mc-boot/GM2RTS.cc patching file src/gcc/m2/mc-boot/GMemUtils.cc patching file src/gcc/m2/mc-boot/GNumberIO.cc patching file src/gcc/m2/mc-boot/GPushBackInput.cc patching file src/gcc/m2/mc-boot/GRTExceptions.cc patching file src/gcc/m2/mc-boot/GRTint.cc patching file src/gcc/m2/mc-boot/GSArgs.cc patching file src/gcc/m2/mc-boot/GSFIO.cc patching file src/gcc/m2/mc-boot/GStdIO.cc patching file src/gcc/m2/mc-boot/GStorage.cc patching file src/gcc/m2/mc-boot/GStrCase.cc patching file src/gcc/m2/mc-boot/GStrIO.cc patching file src/gcc/m2/mc-boot/GStrLib.cc patching file src/gcc/m2/mc-boot/GStringConvert.cc patching file src/gcc/m2/mc-boot/GSysStorage.cc patching file src/gcc/m2/mc-boot/GTimeString.cc patching file src/gcc/m2/mc-boot/Galists.cc patching file src/gcc/m2/mc-boot/Galists.h patching file src/gcc/m2/mc-boot/Gdecl.cc patching file src/gcc/m2/mc-boot/Gdecl.h patching file src/gcc/m2/mc-boot/Gdtoa.h patching file src/gcc/m2/mc-boot/Gkeyc.cc patching file src/gcc/m2/mc-boot/Gkeyc.h patching file src/gcc/m2/mc-boot/Gldtoa.h patching file src/gcc/m2/mc-boot/Glibc.h patching file src/gcc/m2/mc-boot/Glists.cc patching file src/gcc/m2/mc-boot/GmcComment.cc patching file src/gcc/m2/mc-boot/GmcComp.cc patching file src/gcc/m2/mc-boot/GmcDebug.cc patching file src/gcc/m2/mc-boot/GmcError.cc patching file src/gcc/m2/mc-boot/GmcFileName.cc patching file src/gcc/m2/mc-boot/GmcLexBuf.cc patching file src/gcc/m2/mc-boot/GmcMetaError.cc patching file src/gcc/m2/mc-boot/GmcOptions.cc patching file src/gcc/m2/mc-boot/GmcPreprocess.cc patching file src/gcc/m2/mc-boot/GmcPretty.cc patching file src/gcc/m2/mc-boot/GmcPrintf.cc patching file src/gcc/m2/mc-boot/GmcQuiet.cc patching file src/gcc/m2/mc-boot/GmcReserved.cc patching file src/gcc/m2/mc-boot/GmcSearch.cc patching file src/gcc/m2/mc-boot/GmcStack.cc patching file src/gcc/m2/mc-boot/GmcStream.cc patching file src/gcc/m2/mc-boot/Gmcp1.cc patching file src/gcc/m2/mc-boot/Gmcp2.cc patching file src/gcc/m2/mc-boot/Gmcp3.cc patching file src/gcc/m2/mc-boot/Gmcp4.cc patching file src/gcc/m2/mc-boot/Gmcp5.cc patching file src/gcc/m2/mc-boot/GnameKey.cc patching file src/gcc/m2/mc-boot/GsymbolKey.cc patching file src/gcc/m2/mc-boot/Gvarargs.cc patching file src/gcc/m2/mc-boot/Gwlists.cc patching file src/gcc/m2/mc/Indexing.mod patching file src/gcc/m2/mc/alists.def patching file src/gcc/m2/mc/alists.mod patching file src/gcc/m2/mc/decl.def patching file src/gcc/m2/mc/decl.mod patching file src/gcc/m2/mc/keyc.def patching file src/gcc/m2/mc/keyc.mod patching file src/gcc/m2/mc/lists.mod patching file src/gcc/m2/mc/mc.flex patching file src/gcc/m2/mc/mcComment.h patching file src/gcc/m2/mc/mcComp.mod patching file src/gcc/m2/mc/mcDebug.mod patching file src/gcc/m2/mc/mcFileName.mod patching file src/gcc/m2/mc/mcMetaError.mod patching file src/gcc/m2/mc/mcOptions.mod patching file src/gcc/m2/mc/mcPreprocess.mod patching file src/gcc/m2/mc/mcPretty.mod patching file src/gcc/m2/mc/mcPrintf.mod patching file src/gcc/m2/mc/mcQuiet.mod patching file src/gcc/m2/mc/mcReserved.mod patching file src/gcc/m2/mc/mcSearch.mod patching file src/gcc/m2/mc/mcStack.mod patching file src/gcc/m2/mc/mcp1.bnf patching file src/gcc/m2/pge-boot/GDynamicStrings.cc patching file src/gcc/m2/pge-boot/GDynamicStrings.h patching file src/gcc/m2/pge-boot/GM2RTS.cc patching file src/gcc/m2/pge-boot/GSymbolKey.cc patching file src/gcc/m2/pge-boot/GSysExceptions.cc patching file src/gcc/m2/pge-boot/GUnixArgs.cc patching file src/gcc/m2/pge-boot/Gerrno.cc patching file src/gcc/m2/pge-boot/Gldtoa.cc patching file src/gcc/m2/pge-boot/Gldtoa.h patching file src/gcc/m2/pge-boot/Glibc.cc patching file src/gcc/m2/pge-boot/Glibc.h patching file src/gcc/m2/pge-boot/Gpge.cc patching file src/gcc/m2/pge-boot/Gtermios.cc patching file src/gcc/m2/pge-boot/m2rts.h patching file src/gcc/m2/tools-src/buildpg patching file src/gcc/m2/tools-src/calcpath patching file src/gcc/m2/tools-src/checkmeta.py patching file src/gcc/m2/tools-src/def2doc.py patching file src/gcc/m2/tools-src/makeSystem patching file src/gcc/m2/tools-src/tidydates.py patching file src/gcc/match.pd patching file src/gcc/multiple_target.cc patching file src/gcc/optc-save-gen.awk patching file src/gcc/opts-common.cc patching file src/gcc/plugin.cc patching file src/gcc/po/ChangeLog patching file src/gcc/po/be.po patching file src/gcc/po/da.po patching file src/gcc/po/de.po patching file src/gcc/po/el.po patching file src/gcc/po/es.po patching file src/gcc/po/fi.po patching file src/gcc/po/fr.po patching file src/gcc/po/hr.po patching file src/gcc/po/id.po patching file src/gcc/po/ja.po patching file src/gcc/po/ka.po patching file src/gcc/po/nl.po patching file src/gcc/po/ru.po patching file src/gcc/po/sr.po patching file src/gcc/po/sv.po patching file src/gcc/po/tr.po patching file src/gcc/po/uk.po patching file src/gcc/po/vi.po patching file src/gcc/po/zh_CN.po patching file src/gcc/po/zh_TW.po patching file src/gcc/pointer-query.cc patching file src/gcc/range-op.cc patching file src/gcc/real.cc patching file src/gcc/regenerate-opt-urls.py patching file src/gcc/reorg.cc patching file src/gcc/rtl.h patching file src/gcc/simplify-rtx.cc patching file src/gcc/system.h patching file src/gcc/testsuite/ChangeLog patching file src/gcc/testsuite/c-c++-common/Wsizeof-pointer-memaccess5.c patching file src/gcc/testsuite/c-c++-common/analyzer/error-pr115724.c patching file src/gcc/testsuite/c-c++-common/analyzer/fd-glibc-byte-stream-socket.c patching file src/gcc/testsuite/c-c++-common/analyzer/fd-manpage-getaddrinfo-client.c patching file src/gcc/testsuite/c-c++-common/analyzer/fd-manpage-getaddrinfo-server.c patching file src/gcc/testsuite/c-c++-common/analyzer/fd-mappage-getaddrinfo-server.c patching file src/gcc/testsuite/c-c++-common/analyzer/fd-socket-meaning.c patching file src/gcc/testsuite/c-c++-common/analyzer/fd-symbolic-socket.c patching file src/gcc/testsuite/c-c++-common/analyzer/flexible-array-member-1.c patching file src/gcc/testsuite/c-c++-common/analyzer/malloc-ipa-8-unchecked.c patching file src/gcc/testsuite/c-c++-common/analyzer/pr106539.c patching file src/gcc/testsuite/c-c++-common/analyzer/signal-4a.c patching file src/gcc/testsuite/c-c++-common/analyzer/signal-4b.c patching file src/gcc/testsuite/c-c++-common/cpp/pr115913.c patching file src/gcc/testsuite/c-c++-common/fhardened-16.c patching file src/gcc/testsuite/c-c++-common/fhardened-17.c patching file src/gcc/testsuite/c-c++-common/fhardened-18.c patching file src/gcc/testsuite/c-c++-common/fhardened-19.c patching file src/gcc/testsuite/c-c++-common/fhardened-20.c patching file src/gcc/testsuite/c-c++-common/fhardened-21.c patching file src/gcc/testsuite/c-c++-common/raw-string-directive-3.c patching file src/gcc/testsuite/c-c++-common/raw-string-directive-4.c patching file src/gcc/testsuite/c-c++-common/torture/pr116156-1.c patching file src/gcc/testsuite/c-c++-common/torture/pr116189-1.c patching file src/gcc/testsuite/c-c++-common/torture/pr117912-1.c patching file src/gcc/testsuite/c-c++-common/torture/pr117912-2.c patching file src/gcc/testsuite/c-c++-common/torture/pr117912-3.c patching file src/gcc/testsuite/g++.dg/asan/pr117845-2.C patching file src/gcc/testsuite/g++.dg/asan/pr117845.C patching file src/gcc/testsuite/g++.dg/asan/pr118763.C patching file src/gcc/testsuite/g++.dg/coroutines/pr104981-preview-this.C patching file src/gcc/testsuite/g++.dg/coroutines/pr116327-preview-this.C patching file src/gcc/testsuite/g++.dg/cpp0x/alias-decl-78.C patching file src/gcc/testsuite/g++.dg/cpp0x/alias-decl-79.C patching file src/gcc/testsuite/g++.dg/cpp0x/alias-decl-pr92206-1a.C patching file src/gcc/testsuite/g++.dg/cpp0x/alignas23.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-union9.C patching file src/gcc/testsuite/g++.dg/cpp0x/constexpr-volatile4.C patching file src/gcc/testsuite/g++.dg/cpp0x/initlist-array23.C patching file src/gcc/testsuite/g++.dg/cpp0x/initlist-array24.C patching file src/gcc/testsuite/g++.dg/cpp0x/initlist-opt2.C patching file src/gcc/testsuite/g++.dg/cpp0x/initlist-opt6.C patching file src/gcc/testsuite/g++.dg/cpp0x/initlist-opt7.C patching file src/gcc/testsuite/g++.dg/cpp0x/noexcept41.C patching file src/gcc/testsuite/g++.dg/cpp0x/nsdmi-defer7.C patching file src/gcc/testsuite/g++.dg/cpp0x/nsdmi-list10.C patching file src/gcc/testsuite/g++.dg/cpp0x/nsdmi-list9.C patching file src/gcc/testsuite/g++.dg/cpp0x/vt-116384.C patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-lambda1.C patching file src/gcc/testsuite/g++.dg/cpp1y/constexpr-recursion2.C patching file src/gcc/testsuite/g++.dg/cpp1y/nsdmi-aggr22.C patching file src/gcc/testsuite/g++.dg/cpp1z/class-deduction117.C patching file src/gcc/testsuite/g++.dg/cpp1z/constexpr-116676.C patching file src/gcc/testsuite/g++.dg/cpp1z/decomp61.C patching file src/gcc/testsuite/g++.dg/cpp1z/eval-order13.C patching file src/gcc/testsuite/g++.dg/cpp1z/noexcept-type28.C patching file src/gcc/testsuite/g++.dg/cpp1z/nontype-auto25.C patching file src/gcc/testsuite/g++.dg/cpp1z/nontype6.C patching file src/gcc/testsuite/g++.dg/cpp1z/nontype6a.C patching file src/gcc/testsuite/g++.dg/cpp1z/nontype7.C patching file src/gcc/testsuite/g++.dg/cpp23/attr-assume-opt.C patching file src/gcc/testsuite/g++.dg/cpp23/class-deduction-inherited4.C patching file src/gcc/testsuite/g++.dg/cpp23/class-deduction-inherited5.C patching file src/gcc/testsuite/g++.dg/cpp23/class-deduction-inherited6.C patching file src/gcc/testsuite/g++.dg/cpp23/class-deduction-inherited7.C patching file src/gcc/testsuite/g++.dg/cpp23/explicit-obj-lambda15.C patching file src/gcc/testsuite/g++.dg/cpp23/pr117287-attr.C patching file src/gcc/testsuite/g++.dg/cpp26/name-independent-decl10.C patching file src/gcc/testsuite/g++.dg/cpp26/name-independent-decl9.C patching file src/gcc/testsuite/g++.dg/cpp2a/class-deduction-alias23.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-defarg3.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-explicit-spec7.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-inherit-ctor12.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-pr67774.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-requires40.C patching file src/gcc/testsuite/g++.dg/cpp2a/concepts-ttp7.C patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-117775.C patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-union8.C patching file src/gcc/testsuite/g++.dg/cpp2a/constexpr-virtual22.C patching file src/gcc/testsuite/g++.dg/cpp2a/decomp10.C patching file src/gcc/testsuite/g++.dg/cpp2a/lambda-targ6.C patching file src/gcc/testsuite/g++.dg/cpp2a/lambda-targ7.C patching file src/gcc/testsuite/g++.dg/cpp2a/lambda-targ8.C patching file src/gcc/testsuite/g++.dg/cpp2a/lambda-targ9.C patching file src/gcc/testsuite/g++.dg/cpp2a/lambda-uneval24.C patching file src/gcc/testsuite/g++.dg/cpp2a/paren-init38.C patching file src/gcc/testsuite/g++.dg/cpp2a/pr117317-1.C patching file src/gcc/testsuite/g++.dg/cpp2a/pr117317-2.C patching file src/gcc/testsuite/g++.dg/diagnostic/aggr-init1.C patching file src/gcc/testsuite/g++.dg/eh/pr84968.C patching file src/gcc/testsuite/g++.dg/expr/pmf-4.C patching file src/gcc/testsuite/g++.dg/ext/align3.C patching file src/gcc/testsuite/g++.dg/ext/align4.C patching file src/gcc/testsuite/g++.dg/ext/align5.C patching file src/gcc/testsuite/g++.dg/ext/attr-no-dangling6.C patching file src/gcc/testsuite/g++.dg/ext/attr-no-dangling7.C patching file src/gcc/testsuite/g++.dg/ext/attr-no-dangling8.C patching file src/gcc/testsuite/g++.dg/ext/attr-no-dangling9.C patching file src/gcc/testsuite/g++.dg/ext/attr-unavailable-13.C patching file src/gcc/testsuite/g++.dg/ext/is_bounded_array.C patching file src/gcc/testsuite/g++.dg/ext/stmtexpr26.C patching file src/gcc/testsuite/g++.dg/init/array62.C patching file src/gcc/testsuite/g++.dg/init/array63.C patching file src/gcc/testsuite/g++.dg/init/array64.C patching file src/gcc/testsuite/g++.dg/init/array66.C patching file src/gcc/testsuite/g++.dg/ipa/pr118243.C patching file src/gcc/testsuite/g++.dg/lookup/pr99116-1.C patching file src/gcc/testsuite/g++.dg/lto/pr107467_0.C patching file src/gcc/testsuite/g++.dg/modules/auto-5_a.C patching file src/gcc/testsuite/g++.dg/modules/auto-5_b.C patching file src/gcc/testsuite/g++.dg/modules/auto-5_c.C patching file src/gcc/testsuite/g++.dg/modules/auto-6_a.H patching file src/gcc/testsuite/g++.dg/modules/auto-6_b.C patching file src/gcc/testsuite/g++.dg/modules/enum-14.C patching file src/gcc/testsuite/g++.dg/modules/linkage-1_a.C patching file src/gcc/testsuite/g++.dg/modules/linkage-1_b.C patching file src/gcc/testsuite/g++.dg/modules/linkage-1_c.C patching file src/gcc/testsuite/g++.dg/modules/linkage-2.C patching file src/gcc/testsuite/g++.dg/modules/pr114630.h patching file src/gcc/testsuite/g++.dg/modules/pr114630_a.C patching file src/gcc/testsuite/g++.dg/modules/pr114630_b.C patching file src/gcc/testsuite/g++.dg/modules/pr114630_c.C patching file src/gcc/testsuite/g++.dg/opt/pr117439.C patching file src/gcc/testsuite/g++.dg/opt/pr97315-1.C patching file src/gcc/testsuite/g++.dg/other/anon-union6.C patching file src/gcc/testsuite/g++.dg/other/anon-union7.C patching file src/gcc/testsuite/g++.dg/overload/addr3.C patching file src/gcc/testsuite/g++.dg/parse/crash77.C patching file src/gcc/testsuite/g++.dg/parse/pr116071.C patching file src/gcc/testsuite/g++.dg/pr117919.C patching file src/gcc/testsuite/g++.dg/template/access42.C patching file src/gcc/testsuite/g++.dg/template/conv21.C patching file src/gcc/testsuite/g++.dg/template/friend80.C patching file src/gcc/testsuite/g++.dg/template/friend81.C patching file src/gcc/testsuite/g++.dg/template/friend81a.C patching file src/gcc/testsuite/g++.dg/template/friend84.C patching file src/gcc/testsuite/g++.dg/template/linkage6.C patching file src/gcc/testsuite/g++.dg/template/non-dependent35.C patching file src/gcc/testsuite/g++.dg/template/ttp44.C patching file src/gcc/testsuite/g++.dg/torture/pr113994.C patching file src/gcc/testsuite/g++.dg/torture/pr116460.C patching file src/gcc/testsuite/g++.dg/torture/pr116783.C patching file src/gcc/testsuite/g++.dg/torture/pr118320.C patching file src/gcc/testsuite/g++.dg/torture/pr81812.C patching file src/gcc/testsuite/g++.dg/tree-ssa/initlist-opt5.C patching file src/gcc/testsuite/g++.dg/tree-ssa/initlist-opt7.C patching file src/gcc/testsuite/g++.dg/ubsan/pr116449.C patching file src/gcc/testsuite/g++.dg/ubsan/pr117259.C patching file src/gcc/testsuite/g++.dg/vect/pr115278.cc patching file src/gcc/testsuite/g++.dg/vect/pr84556.cc patching file src/gcc/testsuite/g++.dg/warn/Wdangling-reference1.C patching file src/gcc/testsuite/g++.dg/warn/Wdangling-reference2.C patching file src/gcc/testsuite/g++.dg/warn/Wdangling-reference22.C patching file src/gcc/testsuite/g++.dg/warn/Wdangling-reference23.C patching file src/gcc/testsuite/g++.dg/warn/Wdangling-reference3.C patching file src/gcc/testsuite/g++.dg/warn/Wduplicated-branches8.C patching file src/gcc/testsuite/g++.dg/warn/Wnonnull16.C patching file src/gcc/testsuite/g++.dg/warn/Wtautological-compare4.C patching file src/gcc/testsuite/g++.dg/warn/deprecated-19.C patching file src/gcc/testsuite/g++.dg/warn/deprecated-20.C patching file src/gcc/testsuite/g++.dg/warn/pr117825.C patching file src/gcc/testsuite/g++.dg/warn/pr33738-2.C patching file src/gcc/testsuite/g++.dg/warn/pr33738.C patching file src/gcc/testsuite/g++.target/aarch64/mv-pragma.C patching file src/gcc/testsuite/g++.target/aarch64/sve/aarch64-ssve.exp patching file src/gcc/testsuite/g++.target/arm/mve/general-c++/nomve_fp_1.c patching file src/gcc/testsuite/g++.target/arm/pr115485.C patching file src/gcc/testsuite/g++.target/riscv/rvv/base/pr116883.C patching file src/gcc/testsuite/gcc.c-torture/execute/20241029-1.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr111613.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr116799.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr117095.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr117432.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr118638.c patching file src/gcc/testsuite/gcc.c-torture/execute/pr119071.c patching file src/gcc/testsuite/gcc.c-torture/pr111613.c patching file src/gcc/testsuite/gcc.dg/analyzer/malloc-ipa-8-unchecked.c patching file src/gcc/testsuite/gcc.dg/analyzer/signal-4a.c patching file src/gcc/testsuite/gcc.dg/analyzer/signal-4b.c patching file src/gcc/testsuite/gcc.dg/asan/pr117209.c patching file src/gcc/testsuite/gcc.dg/bitint-110.c patching file src/gcc/testsuite/gcc.dg/bitint-111.c patching file src/gcc/testsuite/gcc.dg/bitint-113.c patching file src/gcc/testsuite/gcc.dg/bitint-115.c patching file src/gcc/testsuite/gcc.dg/bitint-116.c patching file src/gcc/testsuite/gcc.dg/bitint-117.c patching file src/gcc/testsuite/gcc.dg/bitint-118.c patching file src/gcc/testsuite/gcc.dg/bitint-120.c patching file src/gcc/testsuite/gcc.dg/builtin-iseqsig-1.c patching file src/gcc/testsuite/gcc.dg/dfp/pr102674.c patching file src/gcc/testsuite/gcc.dg/dfp/pr43374.c patching file src/gcc/testsuite/gcc.dg/ipa/modref-4.c patching file src/gcc/testsuite/gcc.dg/lto/pr115815_0.c patching file src/gcc/testsuite/gcc.dg/pr113207.c patching file src/gcc/testsuite/gcc.dg/pr114052-1.c patching file src/gcc/testsuite/gcc.dg/pr115426.c patching file src/gcc/testsuite/gcc.dg/pr116290.c patching file src/gcc/testsuite/gcc.dg/pr116481.c patching file src/gcc/testsuite/gcc.dg/pr116726.c patching file src/gcc/testsuite/gcc.dg/pr116850.c patching file src/gcc/testsuite/gcc.dg/pr116879.c patching file src/gcc/testsuite/gcc.dg/pr116891.c patching file src/gcc/testsuite/gcc.dg/pr116906-1.c patching file src/gcc/testsuite/gcc.dg/pr116906-2.c patching file src/gcc/testsuite/gcc.dg/pr117104.c patching file src/gcc/testsuite/gcc.dg/pr117254.c patching file src/gcc/testsuite/gcc.dg/pr117398.c patching file src/gcc/testsuite/gcc.dg/pr117433.c patching file src/gcc/testsuite/gcc.dg/pr117506.c patching file src/gcc/testsuite/gcc.dg/pr117745.c patching file src/gcc/testsuite/gcc.dg/pr118024.c patching file src/gcc/testsuite/gcc.dg/pr119071.c patching file src/gcc/testsuite/gcc.dg/signbit-5.c patching file src/gcc/testsuite/gcc.dg/torture/bitint-72.c patching file src/gcc/testsuite/gcc.dg/torture/bitint-73.c patching file src/gcc/testsuite/gcc.dg/torture/bitint-74.c patching file src/gcc/testsuite/gcc.dg/torture/builtin-frexp-1.c patching file src/gcc/testsuite/gcc.dg/torture/pr112305.c patching file src/gcc/testsuite/gcc.dg/torture/pr112859.c patching file src/gcc/testsuite/gcc.dg/torture/pr115347.c patching file src/gcc/testsuite/gcc.dg/torture/pr115494.c patching file src/gcc/testsuite/gcc.dg/torture/pr115641.c patching file src/gcc/testsuite/gcc.dg/torture/pr116380.c patching file src/gcc/testsuite/gcc.dg/torture/pr116454-1.c patching file src/gcc/testsuite/gcc.dg/torture/pr116454-2.c patching file src/gcc/testsuite/gcc.dg/torture/pr116585.c patching file src/gcc/testsuite/gcc.dg/torture/pr116768.c patching file src/gcc/testsuite/gcc.dg/torture/pr117041.c patching file src/gcc/testsuite/gcc.dg/torture/pr117086.c patching file src/gcc/testsuite/gcc.dg/torture/pr117113.c patching file src/gcc/testsuite/gcc.dg/torture/pr117119.c patching file src/gcc/testsuite/gcc.dg/torture/pr117186.c patching file src/gcc/testsuite/gcc.dg/torture/pr117333.c patching file src/gcc/testsuite/gcc.dg/torture/pr117417.c patching file src/gcc/testsuite/gcc.dg/torture/pr117574-1.c patching file src/gcc/testsuite/gcc.dg/torture/pr117979.c patching file src/gcc/testsuite/gcc.dg/torture/pr118184.c patching file src/gcc/testsuite/gcc.dg/torture/pr118662.c patching file src/gcc/testsuite/gcc.dg/torture/pr118717.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/pr117142.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/ssa-dom-thread-7.c patching file src/gcc/testsuite/gcc.dg/tree-ssa/ssa-lim-25.c patching file src/gcc/testsuite/gcc.dg/vect/pr116997.c patching file src/gcc/testsuite/gcc.dg/vect/pr117307.c patching file src/gcc/testsuite/gcc.dg/vect/pr117594.c patching file src/gcc/testsuite/gcc.dg/vect/pr118140.c patching file src/gcc/testsuite/gcc.dg/vect/pr118653.c patching file src/gcc/testsuite/gcc.dg/vect/vect-fncall-mask.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/ls64_guard-1.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/ls64_guard-2.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/ls64_guard-3.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/ls64_guard-4.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/memtag_guard-1.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/memtag_guard-2.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/memtag_guard-3.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/memtag_guard-4.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/tme_guard-1.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/tme_guard-2.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/tme_guard-3.c patching file src/gcc/testsuite/gcc.target/aarch64/acle/tme_guard-4.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_34 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/info_35 patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_34.c patching file src/gcc/testsuite/gcc.target/aarch64/cpunative/native_cpu_35.c patching file src/gcc/testsuite/gcc.target/aarch64/ins_bitfield_1a.c patching file src/gcc/testsuite/gcc.target/aarch64/ins_bitfield_3a.c patching file src/gcc/testsuite/gcc.target/aarch64/ins_bitfield_5a.c patching file src/gcc/testsuite/gcc.target/aarch64/options_set_29.c patching file src/gcc/testsuite/gcc.target/aarch64/pr116258.c patching file src/gcc/testsuite/gcc.target/aarch64/pr117186.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/acle-asm/psel_lane_b16.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/acle-asm/psel_lane_b32.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/acle-asm/psel_lane_b64.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/acle-asm/psel_lane_b8.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/acle-asm/psel_lane_c16.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/acle-asm/psel_lane_c32.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/acle-asm/psel_lane_c64.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/acle-asm/psel_lane_c8.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/clamp_3.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/clamp_4.c patching file src/gcc/testsuite/gcc.target/aarch64/sme/clamp_5.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/bfmlslb_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_c16.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_c16_x2.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_c32.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_c32_x2.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_c64.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_c64_x2.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_c8.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_c8_x2.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_lane_c16.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_lane_c16_x2.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_lane_c32.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_lane_c32_x2.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_lane_c64.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_lane_c64_x2.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_lane_c8.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/pext_lane_c8_x2.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/psel_b16.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/psel_b32.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/psel_b64.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/psel_b8.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/psel_c16.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/psel_c32.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/psel_c64.c patching file src/gcc/testsuite/gcc.target/aarch64/sme2/acle-asm/psel_c8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_bf16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn1q_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_bf16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/trn2q_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_bf16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp1q_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_bf16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/uzp2q_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_bf16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip1q_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_bf16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_f16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_f32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_f64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_s16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_s32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_s64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_s8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_u16.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_u32.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_u64.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/asm/zip2q_u8.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general/pr106326_2.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general/pr115464.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general/pr115464_2.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general/whilele_11.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general/whilele_12.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/acle/general/whilele_5.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr116238.c patching file src/gcc/testsuite/gcc.target/aarch64/sve/pr116259-1.c patching file src/gcc/testsuite/gcc.target/arm/acle/data-intrinsics-assembly.c patching file src/gcc/testsuite/gcc.target/arm/attr-neon-builtin-fail.c patching file src/gcc/testsuite/gcc.target/arm/attr-neon-builtin-fail2.c patching file src/gcc/testsuite/gcc.target/arm/attr-neon-fp16.c patching file src/gcc/testsuite/gcc.target/arm/attr-neon2.c patching file src/gcc/testsuite/gcc.target/arm/bti-1.c patching file src/gcc/testsuite/gcc.target/arm/bti-2.c patching file src/gcc/testsuite/gcc.target/arm/cmse/cmse-15.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/bitfield-4.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/bitfield-6.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/bitfield-8.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/bitfield-and-union.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/hard-sp/cmse-5.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/hard/cmse-5.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/soft/cmse-5.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/softfp-sp/cmse-5.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/softfp/cmse-5.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8_1m/union-2.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8m/hard-sp/cmse-5.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8m/hard/cmse-5.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8m/soft/cmse-5.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8m/softfp-sp/cmse-5.c patching file src/gcc/testsuite/gcc.target/arm/cmse/mainline/8m/softfp/cmse-5.c patching file src/gcc/testsuite/gcc.target/arm/epilog-1.c patching file src/gcc/testsuite/gcc.target/arm/fp16-aapcs-1.c patching file src/gcc/testsuite/gcc.target/arm/fp16-aapcs-2.c patching file src/gcc/testsuite/gcc.target/arm/fp16-aapcs-3.c patching file src/gcc/testsuite/gcc.target/arm/fp16-aapcs-4.c patching file src/gcc/testsuite/gcc.target/arm/memset-inline-4-exe.c patching file src/gcc/testsuite/gcc.target/arm/memset-inline-4.c patching file src/gcc/testsuite/gcc.target/arm/memset-inline-5-exe.c patching file src/gcc/testsuite/gcc.target/arm/memset-inline-5.c patching file src/gcc/testsuite/gcc.target/arm/memset-inline-6-exe.c patching file src/gcc/testsuite/gcc.target/arm/memset-inline-6.c patching file src/gcc/testsuite/gcc.target/arm/memset-inline-8-exe.c patching file src/gcc/testsuite/gcc.target/arm/memset-inline-8.c patching file src/gcc/testsuite/gcc.target/arm/memset-inline-9-exe.c patching file src/gcc/testsuite/gcc.target/arm/memset-inline-9.c patching file src/gcc/testsuite/gcc.target/arm/mve/pr108443-run.c patching file src/gcc/testsuite/gcc.target/arm/mve/pr108443.c patching file src/gcc/testsuite/gcc.target/arm/mve/pr114801.c patching file src/gcc/testsuite/gcc.target/arm/mve/pr117408-1.c patching file src/gcc/testsuite/gcc.target/arm/mve/pr117408-2.c patching file src/gcc/testsuite/gcc.target/arm/pac-1.c patching file src/gcc/testsuite/gcc.target/arm/pac-10.c patching file src/gcc/testsuite/gcc.target/arm/pac-11.c patching file src/gcc/testsuite/gcc.target/arm/pac-15.c patching file src/gcc/testsuite/gcc.target/arm/pac-2.c patching file src/gcc/testsuite/gcc.target/arm/pac-3.c patching file src/gcc/testsuite/gcc.target/arm/pac-4.c patching file src/gcc/testsuite/gcc.target/arm/pac-6.c patching file src/gcc/testsuite/gcc.target/arm/pac-7.c patching file src/gcc/testsuite/gcc.target/arm/pac-8.c patching file src/gcc/testsuite/gcc.target/arm/pac-9.c patching file src/gcc/testsuite/gcc.target/arm/pac-sibcall.c patching file src/gcc/testsuite/gcc.target/arm/pr117675.c patching file src/gcc/testsuite/gcc.target/arm/pr40457-2.c patching file src/gcc/testsuite/gcc.target/arm/pr43920-2.c patching file src/gcc/testsuite/gcc.target/arm/pr51534.c patching file src/gcc/testsuite/gcc.target/arm/pr68620.c patching file src/gcc/testsuite/gcc.target/arm/pr68674.c patching file src/gcc/testsuite/gcc.target/arm/pr78041.c patching file src/gcc/testsuite/gcc.target/arm/pr98636.c patching file src/gcc/testsuite/gcc.target/arm/simd/mve-vshr.c patching file src/gcc/testsuite/gcc.target/arm/simd/vld1q_base_xN_1.c patching file src/gcc/testsuite/gcc.target/arm/thumb-ifcvt.c patching file src/gcc/testsuite/gcc.target/arm/thumb2-slow-flash-data-3.c patching file src/gcc/testsuite/gcc.target/arm/unsigned-extend-1.c patching file src/gcc/testsuite/gcc.target/arm/vect-early-break-cbranch.c patching file src/gcc/testsuite/gcc.target/arm/vfp-1.c patching file src/gcc/testsuite/gcc.target/avr/torture/get-mem.c patching file src/gcc/testsuite/gcc.target/avr/torture/int24-mul.c patching file src/gcc/testsuite/gcc.target/avr/torture/pr109907-2.c patching file src/gcc/testsuite/gcc.target/avr/torture/pr116295.c patching file src/gcc/testsuite/gcc.target/avr/torture/pr116390.c patching file src/gcc/testsuite/gcc.target/avr/torture/pr116407-2.c patching file src/gcc/testsuite/gcc.target/avr/torture/pr116407-4.c patching file src/gcc/testsuite/gcc.target/avr/torture/pr116953.c patching file src/gcc/testsuite/gcc.target/avr/torture/pr61443.c patching file src/gcc/testsuite/gcc.target/avr/torture/pr63633-ice-mult.c patching file src/gcc/testsuite/gcc.target/avr/torture/pr85624.c patching file src/gcc/testsuite/gcc.target/avr/torture/set-mem.c patching file src/gcc/testsuite/gcc.target/i386/apx-ppx-2.c patching file src/gcc/testsuite/gcc.target/i386/apx-ppx-3.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-1.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-12.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-13.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-14.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-17.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-19.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-21.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-22.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-23.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-24.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-3.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-5.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-6.c patching file src/gcc/testsuite/gcc.target/i386/avx10_1-8.c patching file src/gcc/testsuite/gcc.target/i386/avx256_avoid_vec_perm.c patching file src/gcc/testsuite/gcc.target/i386/avx512bw-pr103750-1.c patching file src/gcc/testsuite/gcc.target/i386/avx512bw-pr103750-2.c patching file src/gcc/testsuite/gcc.target/i386/avx512dq-pr90991-1.c patching file src/gcc/testsuite/gcc.target/i386/avx512dq-pr90991-2.c patching file src/gcc/testsuite/gcc.target/i386/avx512fp16-vfpclassph-1c.c patching file src/gcc/testsuite/gcc.target/i386/bmi-pr116287.c patching file src/gcc/testsuite/gcc.target/i386/bmi2-pr116287.c patching file src/gcc/testsuite/gcc.target/i386/cmpccxadd-1b.c patching file src/gcc/testsuite/gcc.target/i386/fentryname3.c patching file src/gcc/testsuite/gcc.target/i386/fma-pr116891.c patching file src/gcc/testsuite/gcc.target/i386/pieces-memcpy-10.c patching file src/gcc/testsuite/gcc.target/i386/pieces-memcpy-22.c patching file src/gcc/testsuite/gcc.target/i386/pieces-memcpy-6.c patching file src/gcc/testsuite/gcc.target/i386/pieces-memset-38.c patching file src/gcc/testsuite/gcc.target/i386/pieces-memset-40.c patching file src/gcc/testsuite/gcc.target/i386/pieces-memset-41.c patching file src/gcc/testsuite/gcc.target/i386/pieces-memset-42.c patching file src/gcc/testsuite/gcc.target/i386/pieces-memset-43.c patching file src/gcc/testsuite/gcc.target/i386/pieces-memset-51.c patching file src/gcc/testsuite/gcc.target/i386/pieces-strcpy-2.c patching file src/gcc/testsuite/gcc.target/i386/pieces-strcpy-3.c patching file src/gcc/testsuite/gcc.target/i386/pr113689-1.c patching file src/gcc/testsuite/gcc.target/i386/pr114942.c patching file src/gcc/testsuite/gcc.target/i386/pr116043.c patching file src/gcc/testsuite/gcc.target/i386/pr116174.c patching file src/gcc/testsuite/gcc.target/i386/pr116258.c patching file src/gcc/testsuite/gcc.target/i386/pr116274-2.c patching file src/gcc/testsuite/gcc.target/i386/pr116512.c patching file src/gcc/testsuite/gcc.target/i386/pr116621.c patching file src/gcc/testsuite/gcc.target/i386/pr116839.c patching file src/gcc/testsuite/gcc.target/i386/pr116921.c patching file src/gcc/testsuite/gcc.target/i386/pr116962.c patching file src/gcc/testsuite/gcc.target/i386/pr117105.c patching file src/gcc/testsuite/gcc.target/i386/pr117116.c patching file src/gcc/testsuite/gcc.target/i386/pr117159.c patching file src/gcc/testsuite/gcc.target/i386/pr117240_avx.c patching file src/gcc/testsuite/gcc.target/i386/pr117240_avx512f.c patching file src/gcc/testsuite/gcc.target/i386/pr117296.c patching file src/gcc/testsuite/gcc.target/i386/pr117304-1.c patching file src/gcc/testsuite/gcc.target/i386/pr117318.c patching file src/gcc/testsuite/gcc.target/i386/pr117357.c patching file src/gcc/testsuite/gcc.target/i386/pr117418-1.c patching file src/gcc/testsuite/gcc.target/i386/pr117432.c patching file src/gcc/testsuite/gcc.target/i386/pr117926.c patching file src/gcc/testsuite/gcc.target/i386/pr118739.c patching file src/gcc/testsuite/gcc.target/i386/pr118776.c patching file src/gcc/testsuite/gcc.target/i386/prefetchi-1b.c patching file src/gcc/testsuite/gcc.target/i386/sse-pr116738.c patching file src/gcc/testsuite/gcc.target/i386/sse_movcc_use_blendv.c patching file src/gcc/testsuite/gcc.target/i386/tbm-pr116287.c patching file src/gcc/testsuite/gcc.target/loongarch/pr118561.c patching file src/gcc/testsuite/gcc.target/loongarch/pr118843.c patching file src/gcc/testsuite/gcc.target/loongarch/pr119084.c patching file src/gcc/testsuite/gcc.target/loongarch/vector/lasx/lasx-builtin.c patching file src/gcc/testsuite/gcc.target/loongarch/vector/lsx/lsx-builtin.c patching file src/gcc/testsuite/gcc.target/powerpc/mma-builtin-error.c patching file src/gcc/testsuite/gcc.target/powerpc/power11-1.c patching file src/gcc/testsuite/gcc.target/powerpc/power11-2.c patching file src/gcc/testsuite/gcc.target/powerpc/power11-3.c patching file src/gcc/testsuite/gcc.target/powerpc/pr114759-1.c patching file src/gcc/testsuite/gcc.target/powerpc/pr116415.c patching file src/gcc/testsuite/gcc.target/riscv/mcpu-6.c patching file src/gcc/testsuite/gcc.target/riscv/mcpu-7.c patching file src/gcc/testsuite/gcc.target/riscv/pr116033.c patching file src/gcc/testsuite/gcc.target/riscv/pr116720.c patching file src/gcc/testsuite/gcc.target/riscv/pr117506.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/autovec/pr118140.c patching file src/gcc/testsuite/gcc.target/riscv/rvv/autovec/vls-vlmax/full-vec-move1.c patching file src/gcc/testsuite/gcc.target/s390/ccusage.c patching file src/gcc/testsuite/gcc.target/s390/pr115860-1.c patching file src/gcc/testsuite/gcc.target/s390/pr118835.c patching file src/gcc/testsuite/gcc.target/s390/vector/long-double-asm-abi.c patching file src/gcc/testsuite/gcc.target/s390/vector/long-double-to-i64.c patching file src/gcc/testsuite/gdc.dg/pr116373.d patching file src/gcc/testsuite/gdc.dg/pr116961.d patching file src/gcc/testsuite/gdc.dg/pr117115.d patching file src/gcc/testsuite/gdc.dg/pr119139.d patching file src/gcc/testsuite/gfortran.dg/allocatable_char_1.f90 patching file src/gcc/testsuite/gfortran.dg/assign_12.f90 patching file src/gcc/testsuite/gfortran.dg/associate_69.f90 patching file src/gcc/testsuite/gfortran.dg/associate_70.f90 patching file src/gcc/testsuite/gfortran.dg/associate_72.f90 patching file src/gcc/testsuite/gfortran.dg/assumed_rank_25.f90 patching file src/gcc/testsuite/gfortran.dg/bounds_check_array_io.f90 patching file src/gcc/testsuite/gfortran.dg/character_workout_1.f90 patching file src/gcc/testsuite/gfortran.dg/common_29.f90 patching file src/gcc/testsuite/gfortran.dg/cray_pointers_13.f90 patching file src/gcc/testsuite/gfortran.dg/default_format_2.f90 patching file src/gcc/testsuite/gfortran.dg/default_format_denormal_2.f90 patching file src/gcc/testsuite/gfortran.dg/defined_assignment_12.f90 patching file src/gcc/testsuite/gfortran.dg/finalize_58.f90 patching file src/gcc/testsuite/gfortran.dg/finalize_59.f90 patching file src/gcc/testsuite/gfortran.dg/ieee/pr115070.f90 patching file src/gcc/testsuite/gfortran.dg/large_real_kind_form_io_2.f90 patching file src/gcc/testsuite/gfortran.dg/null_actual_6.f90 patching file src/gcc/testsuite/gfortran.dg/pr100273.f90 patching file src/gcc/testsuite/gfortran.dg/pr108434.f90 patching file src/gcc/testsuite/gfortran.dg/pr108889.f90 patching file src/gcc/testsuite/gfortran.dg/pr109345.f90 patching file src/gcc/testsuite/gfortran.dg/pr115348.f90 patching file src/gcc/testsuite/gfortran.dg/pr117730_a.f90 patching file src/gcc/testsuite/gfortran.dg/pr117730_b.f90 patching file src/gcc/testsuite/gfortran.dg/pr117763.f90 patching file src/gcc/testsuite/gfortran.dg/pr117797.f90 patching file src/gcc/testsuite/gfortran.dg/pr117819.f90 patching file src/gcc/testsuite/gfortran.dg/pr117820.f90 patching file src/gcc/testsuite/gfortran.dg/pr118640.f90 patching file src/gcc/testsuite/gfortran.dg/pr81978.f90 patching file src/gcc/testsuite/gfortran.dg/pr84674.f90 patching file src/gcc/testsuite/gfortran.dg/pr84868.f90 patching file src/gcc/testsuite/gfortran.dg/pr84869.f90 patching file src/gcc/testsuite/gfortran.dg/pr95446.f90 patching file src/gcc/testsuite/gfortran.dg/proc_ptr_54.f90 patching file src/gcc/testsuite/gfortran.dg/proc_ptr_55.f90 patching file src/gcc/testsuite/gfortran.dg/proc_ptr_56.f90 patching file src/gcc/testsuite/gfortran.dg/ptr_func_assign_6.f08 patching file src/gcc/testsuite/gfortran.dg/use_rename_12.f90 patching file src/gcc/testsuite/gfortran.dg/use_rename_13.f90 patching file src/gcc/testsuite/gfortran.dg/use_rename_14.f90 patching file src/gcc/testsuite/gfortran.dg/utf8_3.f03 patching file src/gcc/testsuite/gfortran.dg/value_optional_2.f90 patching file src/gcc/testsuite/gm2/base-lang/pass/SYSTEM.def patching file src/gcc/testsuite/gm2/base-lang/pass/base-lang-test.sh patching file src/gcc/testsuite/gm2/base-lang/pass/globalproctype.def patching file src/gcc/testsuite/gm2/base-lang/pass/globalproctype.mod patching file src/gcc/testsuite/gm2/base-lang/pass/globalvar.def patching file src/gcc/testsuite/gm2/base-lang/pass/globalvar.mod patching file src/gcc/testsuite/gm2/base-lang/pass/globalvarassign.def patching file src/gcc/testsuite/gm2/base-lang/pass/globalvarassign.mod patching file src/gcc/testsuite/gm2/base-lang/pass/localproctype.def patching file src/gcc/testsuite/gm2/base-lang/pass/localproctype.mod patching file src/gcc/testsuite/gm2/base-lang/pass/localvar.def patching file src/gcc/testsuite/gm2/base-lang/pass/localvar.mod patching file src/gcc/testsuite/gm2/base-lang/pass/localvarassign.def patching file src/gcc/testsuite/gm2/base-lang/pass/localvarassign.mod patching file src/gcc/testsuite/gm2/base-lang/pass/opaquefield.def patching file src/gcc/testsuite/gm2/base-lang/pass/opaquefield.mod patching file src/gcc/testsuite/gm2/base-lang/pass/opaquenew.def patching file src/gcc/testsuite/gm2/base-lang/pass/opaquenew.mod patching file src/gcc/testsuite/gm2/base-lang/pass/opaqueparam.def patching file src/gcc/testsuite/gm2/base-lang/pass/opaqueparam.mod patching file src/gcc/testsuite/gm2/base-lang/pass/opaquestr.def patching file src/gcc/testsuite/gm2/base-lang/pass/opaqueuse.def patching file src/gcc/testsuite/gm2/base-lang/pass/opaqueuse.mod patching file src/gcc/testsuite/gm2/base-lang/pass/opaqueusestr.def patching file src/gcc/testsuite/gm2/base-lang/pass/opaqueusestr.mod patching file src/gcc/testsuite/gm2/base-lang/pass/opaquevariant.def patching file src/gcc/testsuite/gm2/base-lang/pass/opaquevariant.mod patching file src/gcc/testsuite/gm2/base-lang/pass/opaquevarparam.def patching file src/gcc/testsuite/gm2/base-lang/pass/opaquevarparam.mod patching file src/gcc/testsuite/gm2/base-lang/pass/simplelist.def patching file src/gcc/testsuite/gm2/base-lang/pass/simplelist.mod patching file src/gcc/testsuite/gm2/base-lang/pass/simplelistiter.def patching file src/gcc/testsuite/gm2/base-lang/pass/simplelistiter.mod patching file src/gcc/testsuite/gm2/base-lang/pass/simpleopaque.def patching file src/gcc/testsuite/gm2/base-lang/pass/simpleopaque.mod patching file src/gcc/testsuite/gm2/base-lang/pass/straddress.def patching file src/gcc/testsuite/gm2/base-lang/pass/straddress.mod patching file src/gcc/testsuite/gm2/base-lang/pass/straddressexport.def patching file src/gcc/testsuite/gm2/base-lang/pass/straddressexport.mod patching file src/gcc/testsuite/gm2/base-lang/pass/unboundedarray.def patching file src/gcc/testsuite/gm2/base-lang/pass/unboundedarray.mod patching file src/gcc/testsuite/gm2/builtins/run/pass/testalloa.mod patching file src/gcc/testsuite/gm2/builtins/run/pass/testbitfns.mod patching file src/gcc/testsuite/gm2/builtins/run/pass/testisnormal.def patching file src/gcc/testsuite/gm2/builtins/run/pass/testisnormal.mod patching file src/gcc/testsuite/gm2/builtins/run/pass/testsinl.def patching file src/gcc/testsuite/gm2/builtins/run/pass/testsinl.mod patching file src/gcc/testsuite/gm2/errors/fail/array1.mod patching file src/gcc/testsuite/gm2/errors/fail/badconst.mod patching file src/gcc/testsuite/gm2/errors/fail/badtype.mod patching file src/gcc/testsuite/gm2/errors/fail/badvar.mod patching file src/gcc/testsuite/gm2/errors/fail/errors-fail.exp patching file src/gcc/testsuite/gm2/errors/fail/testfio.mod patching file src/gcc/testsuite/gm2/errors/fail/testparam.mod patching file src/gcc/testsuite/gm2/extensions/run/pass/testopen.mod patching file src/gcc/testsuite/gm2/iso/const/pass/constbool4.mod patching file src/gcc/testsuite/gm2/iso/const/pass/constbool5.mod patching file src/gcc/testsuite/gm2/iso/fail/arraymismatch.mod patching file src/gcc/testsuite/gm2/iso/fail/badexpression3.mod patching file src/gcc/testsuite/gm2/iso/fail/badparam.def patching file src/gcc/testsuite/gm2/iso/fail/badparam.mod patching file src/gcc/testsuite/gm2/iso/fail/badparam2.def patching file src/gcc/testsuite/gm2/iso/fail/badparam2.mod patching file src/gcc/testsuite/gm2/iso/fail/badparam3.def patching file src/gcc/testsuite/gm2/iso/fail/badparam3.mod patching file src/gcc/testsuite/gm2/iso/fail/badparam4.def patching file src/gcc/testsuite/gm2/iso/fail/badparam4.mod patching file src/gcc/testsuite/gm2/iso/fail/badparamarray.def patching file src/gcc/testsuite/gm2/iso/fail/badparamarray.mod patching file src/gcc/testsuite/gm2/iso/fail/forloopbyvar.mod patching file src/gcc/testsuite/gm2/iso/fail/forloopbyvar4.mod patching file src/gcc/testsuite/gm2/iso/fail/forloopbyvar5.mod patching file src/gcc/testsuite/gm2/iso/fail/forloopbyzero.mod patching file src/gcc/testsuite/gm2/iso/fail/simpledef1.def patching file src/gcc/testsuite/gm2/iso/fail/simpledef1.mod patching file src/gcc/testsuite/gm2/iso/fail/simpleforward.mod patching file src/gcc/testsuite/gm2/iso/fail/simpleforward2.mod patching file src/gcc/testsuite/gm2/iso/fail/simpleforward3.mod patching file src/gcc/testsuite/gm2/iso/fail/simpleforward4.mod patching file src/gcc/testsuite/gm2/iso/fail/simpleforward5.mod patching file src/gcc/testsuite/gm2/iso/fail/simpleforward7.mod patching file src/gcc/testsuite/gm2/iso/fail/testarrayunbounded2.mod patching file src/gcc/testsuite/gm2/iso/fail/testarrayunbounded3.mod patching file src/gcc/testsuite/gm2/iso/fail/testarrayunbounded4.mod patching file src/gcc/testsuite/gm2/iso/fail/testarrayunbounded5.mod patching file src/gcc/testsuite/gm2/iso/fail/testarrayunbounded6.mod patching file src/gcc/testsuite/gm2/iso/pass/forloopbyconst.mod patching file src/gcc/testsuite/gm2/iso/pass/forloopbyvar3.mod patching file src/gcc/testsuite/gm2/iso/pass/simpleforward.mod patching file src/gcc/testsuite/gm2/iso/pass/simpleforward6.mod patching file src/gcc/testsuite/gm2/iso/pass/testarrayunbounded.mod patching file src/gcc/testsuite/gm2/iso/run/pass/condtest2.mod patching file src/gcc/testsuite/gm2/iso/run/pass/condtest3.mod patching file src/gcc/testsuite/gm2/iso/run/pass/condtest4.mod patching file src/gcc/testsuite/gm2/iso/run/pass/condtest5.mod patching file src/gcc/testsuite/gm2/iso/run/pass/constbool4.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/openlibc.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/testinittm.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/testlowread.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/testrestline.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/testrestline2.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/testrestline3.mod patching file src/gcc/testsuite/gm2/isolib/run/pass/testwritereal.mod patching file src/gcc/testsuite/gm2/pim/fail/tinyadr.mod patching file src/gcc/testsuite/gm2/pim/pass/forloopnulchar.mod patching file src/gcc/testsuite/gm2/pim/pass/forward.mod patching file src/gcc/testsuite/gm2/pim/pass/nulcharcase.mod patching file src/gcc/testsuite/gm2/pim/pass/nulcharvar.mod patching file src/gcc/testsuite/gm2/pim/run/pass/dectest.mod patching file src/gcc/testsuite/gm2/pim/run/pass/inctest.mod patching file src/gcc/testsuite/gm2/pim/run/pass/testaddr3.mod patching file src/gcc/testsuite/gnat.dg/aggr31.adb patching file src/gcc/testsuite/gnat.dg/matrix1.adb patching file src/gcc/testsuite/gnat.dg/put_image1.adb patching file src/gcc/testsuite/gnat.dg/specs/array7.ads patching file src/gcc/testsuite/gnat.dg/specs/generic_inst1.ads patching file src/gcc/testsuite/gnat.dg/specs/generic_inst2-child1.ads patching file src/gcc/testsuite/gnat.dg/specs/generic_inst2-child2.ads patching file src/gcc/testsuite/gnat.dg/specs/generic_inst2.ads patching file src/gcc/testsuite/gnat.dg/specs/vfa3.ads patching file src/gcc/testsuite/gnat.dg/warn33.adb patching file src/gcc/testsuite/gnat.dg/warn33_pkg.ads patching file src/gcc/testsuite/jit.dg/test-error-pr63969-missing-driver.c patching file src/gcc/testsuite/lib/sarif-schema-2.1.0.json patching file src/gcc/testsuite/lib/scansarif.exp patching file src/gcc/testsuite/lib/target-supports.exp patching file src/gcc/toplev.cc patching file src/gcc/tree-assume.cc patching file src/gcc/tree-chrec.cc patching file src/gcc/tree-data-ref.cc patching file src/gcc/tree-eh.cc patching file src/gcc/tree-if-conv.cc patching file src/gcc/tree-loop-distribution.cc patching file src/gcc/tree-parloops.cc patching file src/gcc/tree-sra.cc patching file src/gcc/tree-ssa-alias.cc patching file src/gcc/tree-ssa-dce.cc patching file src/gcc/tree-ssa-forwprop.cc patching file src/gcc/tree-ssa-live.cc patching file src/gcc/tree-ssa-loop-ivopts.cc patching file src/gcc/tree-ssa-loop-niter.cc patching file src/gcc/tree-ssa-phiopt.cc patching file src/gcc/tree-ssa-pre.cc patching file src/gcc/tree-ssa-reassoc.cc patching file src/gcc/tree-ssa-sccvn.cc patching file src/gcc/tree-ssa-sink.cc patching file src/gcc/tree-ssa-structalias.cc patching file src/gcc/tree-ssa-threadedge.cc patching file src/gcc/tree-ssa-threadedge.h patching file src/gcc/tree-vect-data-refs.cc patching file src/gcc/tree-vect-generic.cc patching file src/gcc/tree-vect-loop.cc patching file src/gcc/tree-vect-slp-patterns.cc patching file src/gcc/tree-vect-slp.cc patching file src/gcc/tree-vect-stmts.cc patching file src/gcc/tree-vectorizer.cc patching file src/gcc/tree-vectorizer.h patching file src/gcc/tree-vrp.cc patching file src/gcc/varasm.cc patching file src/gcc/varasm.h patching file src/gcc/vec.h patching file src/gcc/wide-int.cc patching file src/libcpp/ChangeLog patching file src/libcpp/include/rich-location.h patching file src/libcpp/lex.cc patching file src/libcpp/po/ChangeLog patching file src/libcpp/po/sr.po patching file src/libcpp/po/zh_CN.po patching file src/libgcc/ChangeLog patching file src/libgcc/Makefile.in patching file src/libgcc/config.host patching file src/libgcc/config/loongarch/t-crtstuff patching file src/libgcc/config/mips/lib1funcs.S patching file src/libgcc/config/or1k/lib1funcs.S patching file src/libgcc/config/t-darwin-min-11 patching file src/libgcc/configure patching file src/libgcc/configure.ac patching file src/libgfortran/ChangeLog patching file src/libgfortran/io/read.c patching file src/libgfortran/io/write.c patching file src/libgm2/ChangeLog patching file src/libgm2/config.h.in patching file src/libgm2/configure patching file src/libgm2/configure.ac patching file src/libgm2/libm2iso/wraptime.cc patching file src/libgomp/ChangeLog patching file src/libgomp/testsuite/libgomp.oacc-fortran/privatized-ref-2.f90 patching file src/libiberty/ChangeLog patching file src/libiberty/simple-object-elf.c patching file src/libphobos/ChangeLog patching file src/libphobos/libdruntime/core/sys/freebsd/unistd.d patching file src/libphobos/libdruntime/core/sys/linux/unistd.d patching file src/libphobos/libdruntime/core/sys/openbsd/unistd.d patching file src/libphobos/src/std/process.d patching file src/libquadmath/ChangeLog patching file src/libquadmath/math/sqrtq.c patching file src/libsanitizer/ChangeLog patching file src/libsanitizer/interception/interception.h patching file src/libsanitizer/sanitizer_common/sanitizer_asm.h patching file src/libstdc++-v3/ChangeLog patching file src/libstdc++-v3/config.h.in patching file src/libstdc++-v3/config/cpu/aarch64/opt/ext/opt_random.h patching file src/libstdc++-v3/config/cpu/i486/opt/ext/opt_random.h patching file src/libstdc++-v3/config/locale/dragonfly/time_members.cc patching file src/libstdc++-v3/config/locale/generic/time_members.cc patching file src/libstdc++-v3/config/locale/gnu/codecvt_members.cc patching file src/libstdc++-v3/config/locale/gnu/time_members.cc patching file src/libstdc++-v3/configure patching file src/libstdc++-v3/configure.ac patching file src/libstdc++-v3/doc/html/manual/abi.html patching file src/libstdc++-v3/doc/html/manual/api.html patching file src/libstdc++-v3/doc/html/manual/ext_concurrency_impl.html patching file src/libstdc++-v3/doc/html/manual/ext_demangling.html patching file src/libstdc++-v3/doc/html/manual/memory.html patching file src/libstdc++-v3/doc/html/manual/parallel_mode_design.html patching file src/libstdc++-v3/doc/html/manual/parallel_mode_using.html patching file src/libstdc++-v3/doc/html/manual/strings.html patching file src/libstdc++-v3/doc/html/manual/using_dual_abi.html patching file src/libstdc++-v3/doc/html/manual/using_exceptions.html patching file src/libstdc++-v3/doc/xml/manual/abi.xml patching file src/libstdc++-v3/doc/xml/manual/concurrency_extensions.xml patching file src/libstdc++-v3/doc/xml/manual/evolution.xml patching file src/libstdc++-v3/doc/xml/manual/extensions.xml patching file src/libstdc++-v3/doc/xml/manual/parallel_mode.xml patching file src/libstdc++-v3/doc/xml/manual/shared_ptr.xml patching file src/libstdc++-v3/doc/xml/manual/strings.xml patching file src/libstdc++-v3/doc/xml/manual/using.xml patching file src/libstdc++-v3/doc/xml/manual/using_exceptions.xml patching file src/libstdc++-v3/include/bits/alloc_traits.h patching file src/libstdc++-v3/include/bits/atomic_base.h patching file src/libstdc++-v3/include/bits/atomic_futex.h patching file src/libstdc++-v3/include/bits/basic_string.h patching file src/libstdc++-v3/include/bits/basic_string.tcc patching file src/libstdc++-v3/include/bits/c++config patching file src/libstdc++-v3/include/bits/chrono_io.h patching file src/libstdc++-v3/include/bits/cow_string.h patching file src/libstdc++-v3/include/bits/cpp_type_traits.h patching file src/libstdc++-v3/include/bits/deque.tcc patching file src/libstdc++-v3/include/bits/forward_list.h patching file src/libstdc++-v3/include/bits/fs_dir.h patching file src/libstdc++-v3/include/bits/hashtable.h patching file src/libstdc++-v3/include/bits/hashtable_policy.h patching file src/libstdc++-v3/include/bits/istream.tcc patching file src/libstdc++-v3/include/bits/iterator_concepts.h patching file src/libstdc++-v3/include/bits/locale_classes.h patching file src/libstdc++-v3/include/bits/locale_classes.tcc patching file src/libstdc++-v3/include/bits/locale_facets.h patching file src/libstdc++-v3/include/bits/locale_facets.tcc patching file src/libstdc++-v3/include/bits/locale_facets_nonio.tcc patching file src/libstdc++-v3/include/bits/memory_resource.h patching file src/libstdc++-v3/include/bits/move.h patching file src/libstdc++-v3/include/bits/new_allocator.h patching file src/libstdc++-v3/include/bits/ostream.tcc patching file src/libstdc++-v3/include/bits/ranges_algo.h patching file src/libstdc++-v3/include/bits/ranges_algobase.h patching file src/libstdc++-v3/include/bits/ranges_base.h patching file src/libstdc++-v3/include/bits/ranges_util.h patching file src/libstdc++-v3/include/bits/stl_algo.h patching file src/libstdc++-v3/include/bits/stl_algobase.h patching file src/libstdc++-v3/include/bits/stl_bvector.h patching file src/libstdc++-v3/include/bits/stl_deque.h patching file src/libstdc++-v3/include/bits/stl_iterator.h patching file src/libstdc++-v3/include/bits/stl_list.h patching file src/libstdc++-v3/include/bits/stl_tempbuf.h patching file src/libstdc++-v3/include/bits/stl_tree.h patching file src/libstdc++-v3/include/bits/stl_uninitialized.h patching file src/libstdc++-v3/include/bits/stl_vector.h patching file src/libstdc++-v3/include/bits/unicode.h patching file src/libstdc++-v3/include/bits/unique_ptr.h patching file src/libstdc++-v3/include/bits/unordered_map.h patching file src/libstdc++-v3/include/bits/unordered_set.h patching file src/libstdc++-v3/include/bits/vector.tcc patching file src/libstdc++-v3/include/bits/version.def patching file src/libstdc++-v3/include/bits/version.h patching file src/libstdc++-v3/include/c_global/cmath patching file src/libstdc++-v3/include/c_global/cstdlib patching file src/libstdc++-v3/include/debug/helper_functions.h patching file src/libstdc++-v3/include/debug/map.h patching file src/libstdc++-v3/include/debug/safe_base.h patching file src/libstdc++-v3/include/debug/safe_container.h patching file src/libstdc++-v3/include/debug/unordered_map patching file src/libstdc++-v3/include/decimal/decimal.h patching file src/libstdc++-v3/include/experimental/io_context patching file src/libstdc++-v3/include/experimental/socket patching file src/libstdc++-v3/include/ext/bitmap_allocator.h patching file src/libstdc++-v3/include/ext/malloc_allocator.h patching file src/libstdc++-v3/include/ext/mt_allocator.h patching file src/libstdc++-v3/include/ext/pb_ds/detail/resize_policy/hash_prime_size_policy_imp.hpp patching file src/libstdc++-v3/include/ext/pointer.h patching file src/libstdc++-v3/include/ext/pool_allocator.h patching file src/libstdc++-v3/include/ext/stdio_sync_filebuf.h patching file src/libstdc++-v3/include/pstl/glue_numeric_impl.h patching file src/libstdc++-v3/include/pstl/numeric_impl.h patching file src/libstdc++-v3/include/std/algorithm patching file src/libstdc++-v3/include/std/any patching file src/libstdc++-v3/include/std/array patching file src/libstdc++-v3/include/std/coroutine patching file src/libstdc++-v3/include/std/deque patching file src/libstdc++-v3/include/std/format patching file src/libstdc++-v3/include/std/forward_list patching file src/libstdc++-v3/include/std/functional patching file src/libstdc++-v3/include/std/iostream patching file src/libstdc++-v3/include/std/istream patching file src/libstdc++-v3/include/std/list patching file src/libstdc++-v3/include/std/map patching file src/libstdc++-v3/include/std/numeric patching file src/libstdc++-v3/include/std/ostream patching file src/libstdc++-v3/include/std/ranges patching file src/libstdc++-v3/include/std/scoped_allocator patching file src/libstdc++-v3/include/std/set patching file src/libstdc++-v3/include/std/span patching file src/libstdc++-v3/include/std/stacktrace patching file src/libstdc++-v3/include/std/string patching file src/libstdc++-v3/include/std/string_view patching file src/libstdc++-v3/include/std/text_encoding patching file src/libstdc++-v3/include/std/tuple patching file src/libstdc++-v3/include/std/type_traits patching file src/libstdc++-v3/include/std/unordered_map patching file src/libstdc++-v3/include/std/unordered_set patching file src/libstdc++-v3/include/std/variant patching file src/libstdc++-v3/include/std/vector patching file src/libstdc++-v3/include/tr1/cmath patching file src/libstdc++-v3/include/tr1/functional_hash.h patching file src/libstdc++-v3/include/tr1/tuple patching file src/libstdc++-v3/include/tr1/type_traits patching file src/libstdc++-v3/include/tr2/dynamic_bitset patching file src/libstdc++-v3/include/tr2/dynamic_bitset.tcc patching file src/libstdc++-v3/libsupc++/exception_ptr.h patching file src/libstdc++-v3/libsupc++/guard.cc patching file src/libstdc++-v3/python/libstdcxx/v6/printers.py patching file src/libstdc++-v3/src/c++11/cxx11-ios_failure.cc patching file src/libstdc++-v3/src/c++11/futex.cc patching file src/libstdc++-v3/src/c++17/fs_dir.cc patching file src/libstdc++-v3/src/c++17/fs_ops.cc patching file src/libstdc++-v3/src/c++20/tzdb.cc patching file src/libstdc++-v3/src/c++20/tzdb_globals.h patching file src/libstdc++-v3/src/c++98/ios_init.cc patching file src/libstdc++-v3/src/filesystem/ops-common.h patching file src/libstdc++-v3/src/filesystem/ops.cc patching file src/libstdc++-v3/testsuite/17_intro/names.cc patching file src/libstdc++-v3/testsuite/19_diagnostics/stacktrace/stacktrace.cc patching file src/libstdc++-v3/testsuite/20_util/allocator/89510.cc patching file src/libstdc++-v3/testsuite/20_util/allocator_traits/members/108619.cc patching file src/libstdc++-v3/testsuite/20_util/any/misc/lwg3305.cc patching file src/libstdc++-v3/testsuite/20_util/default_delete/void_neg.cc patching file src/libstdc++-v3/testsuite/20_util/duration/io.cc patching file src/libstdc++-v3/testsuite/20_util/forward_like/2_neg.cc patching file src/libstdc++-v3/testsuite/20_util/function_objects/bind_back/116038.cc patching file src/libstdc++-v3/testsuite/20_util/function_objects/bind_front/116038.cc patching file src/libstdc++-v3/testsuite/20_util/headers/memory/version.cc patching file src/libstdc++-v3/testsuite/20_util/scoped_allocator/version.cc patching file src/libstdc++-v3/testsuite/20_util/temporary_buffer.cc patching file src/libstdc++-v3/testsuite/20_util/unique_ptr/creation/116529.cc patching file src/libstdc++-v3/testsuite/20_util/variant/types_neg.cc patching file src/libstdc++-v3/testsuite/21_strings/basic_string/allocator/116641.cc patching file src/libstdc++-v3/testsuite/21_strings/headers/string/version.cc patching file src/libstdc++-v3/testsuite/22_locale/codecvt/in/char/37475.cc patching file src/libstdc++-v3/testsuite/22_locale/codecvt/in/wchar_t/37475.cc patching file src/libstdc++-v3/testsuite/22_locale/codecvt/out/char/37475.cc patching file src/libstdc++-v3/testsuite/22_locale/codecvt/out/wchar_t/37475.cc patching file src/libstdc++-v3/testsuite/22_locale/locale/cons/12438.cc patching file src/libstdc++-v3/testsuite/22_locale/locale/cons/2.cc patching file src/libstdc++-v3/testsuite/22_locale/locale/cons/unicode.cc patching file src/libstdc++-v3/testsuite/22_locale/locale/operations/2.cc patching file src/libstdc++-v3/testsuite/22_locale/time_get/get/char/5.cc patching file src/libstdc++-v3/testsuite/22_locale/time_get/get/wchar_t/5.cc patching file src/libstdc++-v3/testsuite/23_containers/array/comparison_operators/106212.cc patching file src/libstdc++-v3/testsuite/23_containers/deque/modifiers/emplace/90389.cc patching file src/libstdc++-v3/testsuite/23_containers/deque/modifiers/insert/118035.cc patching file src/libstdc++-v3/testsuite/23_containers/deque/version.cc patching file src/libstdc++-v3/testsuite/23_containers/forward_list/version.cc patching file src/libstdc++-v3/testsuite/23_containers/list/version.cc patching file src/libstdc++-v3/testsuite/23_containers/map/version.cc patching file src/libstdc++-v3/testsuite/23_containers/set/modifiers/swap/adl.cc patching file src/libstdc++-v3/testsuite/23_containers/set/version.cc patching file src/libstdc++-v3/testsuite/23_containers/span/117966.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_map/version.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_set/modifiers/swap-2.cc patching file src/libstdc++-v3/testsuite/23_containers/unordered_set/version.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/allocator/115854.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/bool/allocator/115854.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/bool/emplace_rvalue.cc patching file src/libstdc++-v3/testsuite/23_containers/vector/version.cc patching file src/libstdc++-v3/testsuite/24_iterators/indirect_callable/p2609r3.cc patching file src/libstdc++-v3/testsuite/24_iterators/indirect_callable/p2997r1.cc patching file src/libstdc++-v3/testsuite/24_iterators/move_iterator/lwg3736.cc patching file src/libstdc++-v3/testsuite/24_iterators/move_iterator/rel_ops_c++20.cc patching file src/libstdc++-v3/testsuite/24_iterators/range_operations/distance.cc patching file src/libstdc++-v3/testsuite/25_algorithms/clamp/118185.cc patching file src/libstdc++-v3/testsuite/25_algorithms/contains/1.cc patching file src/libstdc++-v3/testsuite/25_algorithms/equal/lwg3560.cc patching file src/libstdc++-v3/testsuite/25_algorithms/fill/constrained.cc patching file src/libstdc++-v3/testsuite/25_algorithms/find_last/1.cc patching file src/libstdc++-v3/testsuite/25_algorithms/headers/algorithm/synopsis.cc patching file src/libstdc++-v3/testsuite/25_algorithms/iota/1.cc patching file src/libstdc++-v3/testsuite/25_algorithms/is_permutation/constrained.cc patching file src/libstdc++-v3/testsuite/25_algorithms/is_permutation/lwg3560.cc patching file src/libstdc++-v3/testsuite/25_algorithms/max/constrained.cc patching file src/libstdc++-v3/testsuite/25_algorithms/min/constrained.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/alg_modifying_operations/rotate_copy.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/alg_modifying_operations/transform_binary.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/alg_nonmodifying/mismatch.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/alg_nonmodifying/nth_element.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/alg_sorting/includes.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/alg_sorting/lexicographical_compare.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/alg_sorting/minmax_element.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/alg_sorting/partial_sort.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/alg_sorting/set_symmetric_difference.cc patching file src/libstdc++-v3/testsuite/25_algorithms/pstl/alg_sorting/set_util.h patching file src/libstdc++-v3/testsuite/25_algorithms/stable_sort/overaligned.cc patching file src/libstdc++-v3/testsuite/26_numerics/exclusive_scan/2.cc patching file src/libstdc++-v3/testsuite/26_numerics/headers/cmath/117321.cc patching file src/libstdc++-v3/testsuite/26_numerics/headers/cmath/117406.cc patching file src/libstdc++-v3/testsuite/26_numerics/pstl/numeric_ops/108236.cc patching file src/libstdc++-v3/testsuite/27_io/basic_ostream/print/1.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/iterators/lwg3480.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/operations/copy.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/operations/copy_file.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/operations/hard_link_count.cc patching file src/libstdc++-v3/testsuite/27_io/filesystem/operations/pr118158.cc patching file src/libstdc++-v3/testsuite/27_io/print/1.cc patching file src/libstdc++-v3/testsuite/27_io/print/3.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic/89624.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_float/compare_exchange_padding.cc patching file src/libstdc++-v3/testsuite/29_atomics/atomic_float/constinit.cc patching file src/libstdc++-v3/testsuite/30_threads/future/members/118093.cc patching file src/libstdc++-v3/testsuite/ext/104395.cc patching file src/libstdc++-v3/testsuite/ext/malloc_allocator/89510.cc patching file src/libstdc++-v3/testsuite/ext/new_allocator/89510.cc patching file src/libstdc++-v3/testsuite/std/format/arguments/args.cc patching file src/libstdc++-v3/testsuite/std/format/context.cc patching file src/libstdc++-v3/testsuite/std/format/formatter/requirements.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/116038.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/adjacent/1.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/adjacent_transform/1.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/chunk/1.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/drop.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/elements.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/slide/1.cc patching file src/libstdc++-v3/testsuite/std/ranges/adaptors/transform.cc patching file src/libstdc++-v3/testsuite/std/ranges/headers/ranges/synopsis.cc patching file src/libstdc++-v3/testsuite/std/ranges/iota/iota_view.cc patching file src/libstdc++-v3/testsuite/std/ranges/repeat/1.cc patching file src/libstdc++-v3/testsuite/std/ranges/subrange/lwg3589.cc patching file src/libstdc++-v3/testsuite/std/ranges/subrange/tuple_like.cc patching file src/libstdc++-v3/testsuite/std/ranges/version_c++23.cc patching file src/libstdc++-v3/testsuite/std/text_encoding/members.cc patching file src/libstdc++-v3/testsuite/std/time/format.cc patching file src/libstdc++-v3/testsuite/std/time/format/format.cc patching file src/libstdc++-v3/testsuite/std/time/format/pr117085.cc patching file src/libstdc++-v3/testsuite/std/time/syn_c++20.cc patching file src/libstdc++-v3/testsuite/std/time/time_zone/sys_info_abbrev.cc patching file src/libstdc++-v3/testsuite/std/time/tzdb/1.cc patching file src/libstdc++-v3/testsuite/std/time/tzdb_list/pr118811.cc patching file src/libstdc++-v3/testsuite/tr2/dynamic_bitset/pr115399.cc patching file src/libstdc++-v3/testsuite/util/testsuite_allocator.h patching file src/libstdc++-v3/testsuite/util/testsuite_performance.h patching file src/lto-plugin/ChangeLog patching file src/lto-plugin/lto-plugin.c Applying patch gcc-gfdl-build.diff patching file src/gcc/Makefile.in Applying patch 0004-Ada-merge-all-timeval-and-timespec-definitions-and-c.diff patching file src/gcc/ada/Makefile.rtl Hunk #3 succeeded at 2850 (offset 7 lines). patching file src/gcc/ada/cal.c patching file src/gcc/ada/gcc-interface/Makefile.in patching file src/gcc/ada/libgnarl/a-exetim__posix.adb patching file src/gcc/ada/libgnarl/s-linux.ads patching file src/gcc/ada/libgnarl/s-linux__alpha.ads patching file src/gcc/ada/libgnarl/s-linux__android.ads patching file src/gcc/ada/libgnarl/s-linux__hppa.ads patching file src/gcc/ada/libgnarl/s-linux__loongarch.ads patching file src/gcc/ada/libgnarl/s-linux__mips.ads patching file src/gcc/ada/libgnarl/s-linux__riscv.ads patching file src/gcc/ada/libgnarl/s-linux__sparc.ads patching file src/gcc/ada/libgnarl/s-linux__x32.ads patching file src/gcc/ada/libgnarl/s-osinte__aix.adb patching file src/gcc/ada/libgnarl/s-osinte__aix.ads patching file src/gcc/ada/libgnarl/s-osinte__android.adb patching file src/gcc/ada/libgnarl/s-osinte__android.ads patching file src/gcc/ada/libgnarl/s-osinte__darwin.adb patching file src/gcc/ada/libgnarl/s-osinte__darwin.ads patching file src/gcc/ada/libgnarl/s-osinte__dragonfly.adb patching file src/gcc/ada/libgnarl/s-osinte__dragonfly.ads patching file src/gcc/ada/libgnarl/s-osinte__freebsd.adb patching file src/gcc/ada/libgnarl/s-osinte__freebsd.ads patching file src/gcc/ada/libgnarl/s-osinte__gnu.adb patching file src/gcc/ada/libgnarl/s-osinte__gnu.ads patching file src/gcc/ada/libgnarl/s-osinte__hpux-dce.adb patching file src/gcc/ada/libgnarl/s-osinte__hpux-dce.ads patching file src/gcc/ada/libgnarl/s-osinte__hpux.ads patching file src/gcc/ada/libgnarl/s-osinte__kfreebsd-gnu.ads patching file src/gcc/ada/libgnarl/s-osinte__linux.ads patching file src/gcc/ada/libgnarl/s-osinte__lynxos178.adb patching file src/gcc/ada/libgnarl/s-osinte__lynxos178e.ads patching file src/gcc/ada/libgnarl/s-osinte__posix.adb patching file src/gcc/ada/libgnarl/s-osinte__qnx.adb patching file src/gcc/ada/libgnarl/s-osinte__qnx.ads patching file src/gcc/ada/libgnarl/s-osinte__rtems.adb patching file src/gcc/ada/libgnarl/s-osinte__rtems.ads patching file src/gcc/ada/libgnarl/s-osinte__solaris.adb patching file src/gcc/ada/libgnarl/s-osinte__solaris.ads patching file src/gcc/ada/libgnarl/s-osinte__vxworks.adb patching file src/gcc/ada/libgnarl/s-osinte__vxworks.ads patching file src/gcc/ada/libgnarl/s-osinte__x32.adb patching file src/gcc/ada/libgnarl/s-qnx.ads patching file src/gcc/ada/libgnarl/s-taprop__hpux-dce.adb patching file src/gcc/ada/libgnarl/s-taprop__solaris.adb patching file src/gcc/ada/libgnarl/s-taprop__vxworks.adb patching file src/gcc/ada/libgnarl/s-tpopmo.adb patching file src/gcc/ada/libgnat/a-calcon.adb patching file src/gcc/ada/libgnat/a-calcon.ads patching file src/gcc/ada/libgnat/a-calend.adb patching file src/gcc/ada/libgnat/a-calend.ads patching file src/gcc/ada/libgnat/g-calend.adb patching file src/gcc/ada/libgnat/g-calend.ads patching file src/gcc/ada/libgnat/g-socket.adb patching file src/gcc/ada/libgnat/g-socthi.adb patching file src/gcc/ada/libgnat/g-socthi__vxworks.adb patching file src/gcc/ada/libgnat/g-sothco.ads patching file src/gcc/ada/libgnat/g-spogwa.adb patching file src/gcc/ada/libgnat/s-c_time.adb patching file src/gcc/ada/libgnat/s-c_time.ads patching file src/gcc/ada/libgnat/s-optide.adb patching file src/gcc/ada/libgnat/s-osprim__darwin.adb patching file src/gcc/ada/libgnat/s-osprim__posix.adb patching file src/gcc/ada/libgnat/s-osprim__posix2008.adb patching file src/gcc/ada/libgnat/s-osprim__rtems.adb patching file src/gcc/ada/libgnat/s-osprim__solaris.adb patching file src/gcc/ada/libgnat/s-osprim__unix.adb patching file src/gcc/ada/libgnat/s-osprim__x32.adb patching file src/gcc/ada/libgnat/s-parame.ads patching file src/gcc/ada/libgnat/s-parame__hpux.ads patching file src/gcc/ada/libgnat/s-parame__posix2008.ads patching file src/gcc/ada/libgnat/s-parame__vxworks.ads patching file src/gcc/ada/s-oscons-tmplt.c Applying patch 0009-Ada-select-64-bits-time-functions-from-GNU-libc-when.diff patching file src/gcc/ada/libgnarl/a-exetim__posix.adb patching file src/gcc/ada/libgnarl/s-osinte__gnu.ads patching file src/gcc/ada/libgnarl/s-osinte__kfreebsd-gnu.ads patching file src/gcc/ada/libgnarl/s-osinte__linux.ads patching file src/gcc/ada/libgnat/g-spogwa.adb patching file src/gcc/ada/libgnat/s-osprim__posix.adb patching file src/gcc/ada/libgnat/s-osprim__posix2008.adb patching file src/gcc/ada/s-oscons-tmplt.c Applying patch gcc-textdomain.diff patching file src/gcc/intl.cc patching file src/gcc/Makefile.in patching file src/libcpp/init.cc patching file src/libcpp/system.h patching file src/libcpp/Makefile.in Applying patch gcc-distro-specs.diff patching file src/gcc/gcc.cc Hunk #2 succeeded at 990 (offset 1 line). Hunk #3 succeeded at 1153 (offset 1 line). Hunk #4 succeeded at 1270 (offset 1 line). Hunk #5 succeeded at 1315 (offset 1 line). Hunk #6 succeeded at 1377 (offset 1 line). Hunk #7 succeeded at 1571 (offset 1 line). Hunk #8 succeeded at 1587 (offset 1 line). Hunk #9 succeeded at 1830 (offset 1 line). patching file src/gcc/cp/lang-specs.h patching file src/gcc/objc/lang-specs.h patching file src/gcc/objcp/lang-specs.h Applying patch gcc-driver-extra-langs.diff patching file src/gcc/Makefile.in Applying patch gcc-hash-style-gnu.diff patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h Hunk #1 succeeded at 781 (offset 1 line). patching file src/gcc/config/s390/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/riscv/linux.h Applying patch libstdc++-doclink.diff patching file src/libstdc++-v3/doc/doxygen/mainpage.html patching file src/libstdc++-v3/doc/html/api.html patching file src/libstdc++-v3/doc/xml/api.xml Applying patch libstdc++-man-3cxx.diff patching file src/libstdc++-v3/doc/doxygen/user.cfg.in patching file src/libstdc++-v3/scripts/run_doxygen Applying patch libstdc++-test-installed.diff patching file src/libstdc++-v3/testsuite/lib/libstdc++.exp Applying patch libstdc++-doxygen-SOURCE_DATE_EPOCH.diff patching file src/libstdc++-v3/scripts/run_doxygen Applying patch alpha-no-ev4-directive.diff patching file src/gcc/config/alpha/alpha.cc Applying patch note-gnu-stack.diff patching file src/libgcc/config/ia64/crtbegin.S patching file src/libgcc/config/ia64/crtend.S patching file src/libgcc/config/ia64/crti.S patching file src/libgcc/config/ia64/crtn.S patching file src/libgcc/config/ia64/lib1funcs.S patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/rs6000/ppc-asm.h Applying patch libgomp-omp_h-multilib.diff patching file src/libgomp/omp.h.in Applying patch libgo-testsuite.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch libgo-cleanfiles.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch gcc-target-include-asm.diff patching file src/configure.ac Applying patch libgo-revert-timeout-exp.diff patching file src/libgo/testsuite/lib/libgo.exp Applying patch libgo-setcontext-config.diff patching file src/libgo/configure.ac Applying patch gcc-auto-build.diff patching file src/gcc/configure.ac Applying patch libitm-no-fortify-source.diff patching file src/libitm/configure.tgt Applying patch sparc64-biarch-long-double-128.diff patching file src/gcc/config/sparc/linux64.h Applying patch pr66368.diff patching file src/libgo/Makefile.am patching file src/libgo/Makefile.in Applying patch pr67590.diff patching file src/libcc1/configure.ac Applying patch libffi-race-condition.diff patching file src/libffi/src/closures.c Applying patch cuda-float128.diff patching file src/libstdc++-v3/include/std/type_traits patching file src/libstdc++-v3/include/bits/std_abs.h patching file src/libstdc++-v3/include/bits/stl_algobase.h Hunk #1 succeeded at 1076 (offset 2 lines). patching file src/libstdc++-v3/include/std/numbers Applying patch t-libunwind-elf-Wl-z-defs.diff patching file src/libgcc/config/t-libunwind-elf Applying patch gcc-force-cross-layout.diff patching file src/configure.ac patching file src/gcc/configure.ac Applying patch gcc-search-prefixed-as-ld.diff patching file src/gcc/gcc.cc Hunk #1 succeeded at 2934 (offset -9 lines). Hunk #2 succeeded at 3148 (offset -9 lines). Applying patch pr87808.diff patching file src/gcc/jit/Make-lang.in patching file src/gcc/jit/jit-playback.cc Hunk #1 succeeded at 43 (offset 1 line). Hunk #2 succeeded at 3213 (offset 1 line). Applying patch pr94253.diff patching file src/gcc/config/rs6000/rs6000.cc Applying patch gcc-arm-disable-guality-tests.diff patching file src/gcc/testsuite/gcc.dg/guality/guality.exp Applying patch musl-ssp.diff patching file src/gcc/gcc.cc Hunk #1 succeeded at 1099 (offset -8 lines). Applying patch pr79724-revert.diff patching file src/gcc/ada/osint.adb Applying patch pr104290-followup.diff patching file src/gcc/config/gnu.h Applying patch arc-stddef.diff patching file src/gcc/config/arc/arc-arch.h Applying patch pr107475.diff patching file src/gcc/ada/Makefile.rtl Applying patch gccrs-bootstrap-mipsel.diff patching file src/configure.ac Applying patch hppa64-libgcov-fallback.diff patching file src/libgcc/libgcov.h Applying patch libsanitizer-timebits.diff patching file src/libsanitizer/sanitizer_common/sanitizer_procmaps_solaris.cpp Applying patch gcc-vhdl.diff patching file src/gcc/config/rs6000/rs6000-logue.cc Applying patch libgo-hurd-syscall.diff patching file src/libgo/go/syscall/syscall_funcs.go patching file src/libgo/go/syscall/syscall_funcs_stubs.go patching file src/libgo/runtime/go-nosys.c Applying patch gcc-no-multilib-dejagnu.diff patching file src/gcc/Makefile.in Applying patch libphobos-unittest.diff patching file src/libphobos/src/std/process.d Hunk #1 succeeded at 2800 (offset 106 lines). Applying patch pr118045.diff patching file src/libgm2/libm2iso/Makefile.am patching file src/libgm2/libm2iso/Makefile.in patching file src/libgm2/libm2log/Makefile.am patching file src/libgm2/libm2log/Makefile.in patching file src/libgm2/libm2pim/Makefile.am patching file src/libgm2/libm2pim/Makefile.in Applying patch binutils-pr32491.diff patching file src/gcc/configure.ac Applying patch pr118501.diff patching file src/gcc/config/aarch64/aarch64.md Hunk #1 succeeded at 7255 (offset -221 lines). patching file src/gcc/testsuite/gcc.c-torture/compile/pr118501.c patching file src/gcc/config/loongarch/loongarch.md Hunk #1 succeeded at 1298 (offset -49 lines). patching file src/gcc/explow.cc Hunk #1 succeeded at 760 with fuzz 1 (offset -4 lines). patching file src/gcc/explow.h Applying patch pr99832-distro.diff patching file src/libstdc++-v3/config/os/gnu-linux/os_defines.h patching file src/libstdc++-v3/include/bits/c++config Hunk #1 succeeded at 891 (offset 3 lines). patching file src/libstdc++-v3/include/bits/chrono.h Applying patch gm2-texinfo.diff patching file src/gcc/doc/gm2.texi Applying patch ada-gcc-name.diff patching file src/gcc/ada/osint.ads patching file src/gcc/ada/osint.adb patching file src/gcc/ada/gnatchop.adb Applying patch ada-gnat-name.diff patching file src/gcc/ada/Make-generated.in Applying patch ada-verbose.diff patching file src/gcc/ada/Make-generated.in patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch ada-link-lib.diff patching file src/gcc/ada/gcc-interface/config-lang.in patching file src/gcc/ada/link.c patching file src/libada/Makefile.in patching file src/Makefile.def patching file src/Makefile.in patching file src/configure.ac patching file src/gcc/ada/gcc-interface/Make-lang.in patching file src/gcc/testsuite/lib/gnat.exp Applying patch ada-gnattools-cross.diff patching file src/gcc/ada/Makefile.rtl patching file src/gcc/ada/gcc-interface/Makefile.in patching file src/gnattools/Makefile.in Applying patch ada-lib-info-source-date-epoch.diff patching file src/gcc/ada/osint.adb patching file src/gcc/ada/osint.ads Applying patch ada-749574.diff patching file src/gcc/ada/gnatlink.adb Applying patch ada-perl-shebang.diff patching file src/gcc/ada/gnathtml.pl Applying patch ada-hurd-amd64.diff patching file src/gcc/ada/Makefile.rtl Hunk #1 succeeded at 1683 (offset 9 lines). Applying patch gdc-texinfo.diff patching file src/gcc/d/gdc.texi Applying patch disable-gdc-tests.diff patching file src/gcc/d/Make-lang.in Hunk #1 succeeded at 302 (offset 96 lines). Applying patch alpha-ieee.diff patching file src/gcc/config/alpha/alpha.cc Applying patch gdc-dynamic-link-phobos.diff patching file src/gcc/d/d-spec.cc Applying patch ia64-disable-selective-scheduling.diff patching file src/gcc/config/ia64/ia64.cc Applying patch libstdc++-pythondir.diff patching file src/libstdc++-v3/python/Makefile.am patching file src/libstdc++-v3/python/Makefile.in Applying patch gcc-verbose-lto-link.diff Patch gcc-verbose-lto-link.diff appears to be empty; applied Applying patch ada-armel-libatomic.diff patching file src/gcc/ada/Makefile.rtl Hunk #1 succeeded at 2301 (offset 28 lines). Hunk #2 succeeded at 2375 (offset 28 lines). patching file src/Makefile.def patching file src/Makefile.in patching file src/gcc/ada/gcc-interface/Makefile.in Applying patch hurd-multiarch.diff patching file src/gcc/config/i386/t-gnu64 Applying patch hurd-multilib-multiarch.diff patching file src/gcc/config/i386/t-gnu64 Applying patch gcc-ice-dump.diff patching file src/gcc/gcc.cc Hunk #1 succeeded at 3137 (offset -9 lines). Hunk #2 succeeded at 3718 (offset -9 lines). Hunk #3 succeeded at 8116 (offset -2 lines). Applying patch gcc-ice-apport.diff patching file src/gcc/gcc.cc Hunk #1 succeeded at 8117 (offset -2 lines). Hunk #2 succeeded at 8140 (offset -2 lines). Applying patch skip-bootstrap-multilib.diff patching file src/config-ml.in Applying patch libffi-ro-eh_frame_sect.diff patching file src/libffi/configure.ac Applying patch gcc-multiarch.diff patching file src/gcc/config/sh/t-linux patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/mips/t-linux64 patching file src/gcc/config.gcc patching file src/gcc/Makefile.in patching file src/gcc/config/aarch64/t-aarch64-linux patching file src/gcc/config/arc/t-multilib-linux Applying patch config-ml.diff patching file src/config-ml.in Applying patch g++-multiarch-incdir.diff patching file src/libstdc++-v3/include/Makefile.am patching file src/libstdc++-v3/include/Makefile.in patching file src/gcc/Makefile.in patching file src/gcc/cppdefault.cc patching file src/gcc/incpath.cc Applying patch canonical-cpppath.diff patching file src/gcc/incpath.cc Applying patch gcc-multilib-multiarch.diff patching file src/gcc/config/sparc/t-linux64 patching file src/gcc/config/s390/t-linux64 patching file src/gcc/config/rs6000/t-linux64 patching file src/gcc/config/i386/t-linux64 patching file src/gcc/config/rs6000/t-linux patching file src/gcc/config/loongarch/t-linux Applying patch gcc-as-needed.diff patching file src/gcc/gcc.cc patching file src/gcc/config/gnu-user.h patching file src/gcc/config/aarch64/aarch64-linux.h patching file src/gcc/config/ia64/linux.h patching file src/gcc/config/sparc/linux.h patching file src/gcc/config/s390/linux.h patching file src/gcc/config/rs6000/linux64.h patching file src/gcc/config/rs6000/sysv4.h Hunk #1 succeeded at 781 (offset 1 line). patching file src/gcc/config/i386/gnu-user64.h patching file src/gcc/config/i386/gnu-user.h patching file src/gcc/config/alpha/linux-elf.h patching file src/gcc/config/arm/linux-elf.h patching file src/gcc/config/mips/gnu-user.h patching file src/gcc/config/riscv/linux.h patching file src/gcc/config/m68k/linux.h patching file src/gcc/config/sh/linux.h patching file src/gcc/config/pa/pa-linux.h patching file src/gcc/config/loongarch/gnu-user.h patching file src/gcc/config/sparc/linux64.h Applying patch gcc-as-needed-gold.diff patching file src/gcc/gcc.cc patching file src/gcc/config/gnu-user.h Applying patch go-testsuite.diff patching file src/gcc/testsuite/go.test/go-test.exp Now at patch go-testsuite.diff : # only needed when we have changes, and currently fails with autogen 5.18 : #cd /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/fixincludes && ./genfixes sync echo -n src/ src/gcc/ src/libcc1/ src/libffi/ src/libgcc/ src/libgm2/ src/libgo/ src/libstdc++-v3/ | xargs -d ' ' -L 1 -P 8 -I{} \ sh -c 'echo "Running autoconf2.69 in {}..." ; \ cd /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/{} && rm -f configure && \ AUTOM4TE=/usr/bin/autom4te2.69 autoconf2.69' xargs: warning: options --max-lines and --replace/-I/-i are mutually exclusive, ignoring previous --max-lines value Running autoconf2.69 in src/... Running autoconf2.69 in src/gcc/... Running autoconf2.69 in src/libcc1/... Running autoconf2.69 in src/libgcc/... Running autoconf2.69 in src/libffi/... Running autoconf2.69 in src/libgm2/... Running autoconf2.69 in src/libgo/... Running autoconf2.69 in src/libstdc++-v3/... for i in git-updates gcc-gfdl-build 0004-Ada-merge-all-timeval-and-timespec-definitions-and-c 0009-Ada-select-64-bits-time-functions-from-GNU-libc-when gcc-textdomain gcc-distro-specs gcc-driver-extra-langs gcc-hash-style-gnu libstdc++-doclink libstdc++-man-3cxx libstdc++-test-installed libstdc++-doxygen-SOURCE_DATE_EPOCH alpha-no-ev4-directive note-gnu-stack libgomp-omp_h-multilib libgo-testsuite libgo-cleanfiles gcc-target-include-asm libgo-revert-timeout-exp libgo-setcontext-config gcc-auto-build libitm-no-fortify-source sparc64-biarch-long-double-128 pr66368 pr67590 libffi-race-condition cuda-float128 t-libunwind-elf-Wl-z-defs gcc-force-cross-layout gcc-search-prefixed-as-ld pr87808 pr94253 gcc-arm-disable-guality-tests musl-ssp pr79724-revert pr104290-followup arc-stddef pr107475 gccrs-bootstrap-mipsel hppa64-libgcov-fallback libsanitizer-timebits gcc-vhdl libgo-hurd-syscall gcc-no-multilib-dejagnu libphobos-unittest pr118045 binutils-pr32491 pr118501 pr99832-distro gm2-texinfo ada-gcc-name ada-gnat-name ada-verbose ada-link-lib ada-gnattools-cross ada-lib-info-source-date-epoch ada-749574 ada-perl-shebang ada-hurd-amd64 gdc-texinfo disable-gdc-tests alpha-ieee gdc-dynamic-link-phobos ia64-disable-selective-scheduling libstdc++-pythondir gcc-verbose-lto-link ada-armel-libatomic hurd-multiarch hurd-multilib-multiarch gcc-ice-dump gcc-ice-apport skip-bootstrap-multilib libffi-ro-eh_frame_sect gcc-multiarch config-ml g++-multiarch-incdir canonical-cpppath gcc-multilib-multiarch gcc-as-needed gcc-as-needed-gold go-testsuite ; do \ echo -e "\n$i:" >> pxxx; \ sed -n 's/^# *DP: */ /p' debian/patches/$i.diff >> pxxx; \ done : # generate the distro-defaults.h header rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/distro-defaults.h ( \ echo '/* distro specific configuration injected by the distro build. */'; \ echo ''; \ echo '#ifndef ACCEL_COMPILER' \ ) >> /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#define DIST_DEFAULT_ASYNC_UNWIND 1' \ >> /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/distro-defaults.h echo '#endif' \ >> /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/distro-defaults.h mv pxxx stamps/02-patch-stamp make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' gcc-14 (Debian 14.2.0-19) 14.2.0 Copyright (C) 2024 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. Build machine is: powerpc64le-linux-gnu create pic/ subdirectory Creating Makefile Creating default_paths.ads Creating ghdl.gpr Creating scripts/gcc/Make-lang.in make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/gcc/vhdl/$d; \ done make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' Generate ghdlsynth_maybe.ads Generate grt-readline.ads make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#5.0.1#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi rm -f -rf src/gcc/vhdl mkdir src/gcc/vhdl cp -p version.ads ghdlsynth_maybe.ads src/gcc/vhdl cp -p grt-readline.ads src/gcc/vhdl cp -p ../../src/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/*.ad? src/gcc/vhdl cp -p ../../src/vhdl/translate/*.ad? src/gcc/vhdl cp -p ../../src/synth/*.ad? src/gcc/vhdl cp -p ../../src/psl/*.ad? src/gcc/vhdl cp -p ../../src/verilog/*.ad? src/gcc/vhdl cp -p ../../src/grt/grt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-types.ads src/gcc/vhdl cp -p ../../src/grt/grt-vhdl_types.ads src/gcc/vhdl cp -p ../../src/grt/grt-c.ad? src/gcc/vhdl cp -p ../../src/grt/grt-fcvt.ad? src/gcc/vhdl cp -p ../../src/grt/grt-algos.ad? src/gcc/vhdl cp -p ../../src/grt/grt-arith.ad? src/gcc/vhdl cp -p ../../src/grt/grt-vstrings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-rstrings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-cstdio.c src/gcc/vhdl cp -p ../../src/grt/grt-astdio.ad? src/gcc/vhdl cp -p ../../src/grt/grt-stdio.ad? src/gcc/vhdl cp -p ../../src/grt/grt-table.ad? src/gcc/vhdl cp -p ../../src/grt/grt-files_operations.ad? src/gcc/vhdl cp -p ../../src/grt/grt-files.ad? src/gcc/vhdl cp -p ../../src/grt/grt-to_strings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-strings.ad? src/gcc/vhdl cp -p ../../src/grt/grt-severity.ads src/gcc/vhdl cp -p ../../src/grt/grt-readline_*.ad? src/gcc/vhdl cp -p ../../src/grt/grt-dynload.ad? src/gcc/vhdl cp -p ../../src/grt/grt-cdynload.c src/gcc/vhdl cp -p ../../src/ortho/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.ad? src/gcc/vhdl cp -p ../../src/ortho/gcc/*.c src/gcc/vhdl cp -p ../../src/ortho/gcc/*.opt src/gcc/vhdl base_ver=`cat src/gcc/BASE-VER`; \ case $base_ver in \ 4.9*) gcc_ortho_lang=ortho-lang-49.c ;; \ 5.*) gcc_ortho_lang=ortho-lang-5.c ;; \ 6 | 6.*) gcc_ortho_lang=ortho-lang-6.c ;; \ 7.*) gcc_ortho_lang=ortho-lang-7.c ;; \ 8.*) gcc_ortho_lang=ortho-lang-8.c ;; \ 9.* | 10.* | 11.* | 12.* | 13.* | 14.*) gcc_ortho_lang=ortho-lang-9.c ;; \ *) echo "Mismatch gcc version from src"; \ echo "Need gcc version 4.9.x, 5.x to 14.x"; \ exit 1 ;; \ esac; \ cp -p ../../src/ortho/gcc/$gcc_ortho_lang \ src/gcc/vhdl/ortho-lang.c cp -p ../../doc/ghdl.texi ../../doc/ghdl.1 src/gcc/vhdl mkdir src/gcc/vhdl/ghdldrv cp -pR ../../src/ghdldrv/*.ad? src/gcc/vhdl/ghdldrv cp -p scripts/gcc/Make-lang.in src/gcc/vhdl cp -p ../../scripts/gcc/config-lang.in src/gcc/vhdl cp -p ../../scripts/gcc/lang-options.h src/gcc/vhdl cp -p ../../scripts/gcc/lang-specs.h src/gcc/vhdl base_ver=`cat src/gcc/BASE-VER`; \ case $base_ver in \ 12.* | 13.* | 14.*) for f in src/gcc/vhdl/*.c; do mv $f ${f}c; done; \ sed -e 's/ortho-lang.c/ortho-lang.cc/' \ < ../../scripts/gcc/config-lang.in \ > src/gcc/vhdl/config-lang.in ;; \ esac make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' dh_testdir : # give information about the build process ------------------------ Build process variables ------------------------ Memory on this machine: MemTotal: 16678144 kB MemFree: 86464 kB MemAvailable: 15901184 kB SwapCached: 14912 kB SwapTotal: 25165760 kB SwapFree: 25052800 kB Number of parallel processes used for the build: 8 DEB_BUILD_OPTIONS: parallel=8 Package source: gcc-14 GCC version: Base Debian version: 14 Package Metadata: {"type":"deb","os":"debian","name":"gcc-14","version":"14.2.0-19","architecture":"ppc64el"} Configured with: -v --with-pkgversion='Debian 14.2.0-19' --with-bugurl='file:///usr/share/doc/gcc-14/README.Bugs' --enable-languages=vhdl --prefix=/usr/lib/ghdl/gcc --with-gcc-major-version-only --program-suffix=-14 --program-prefix=powerpc64le-linux-gnu- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib/ghdl/gcc/libexec --without-included-gettext --enable-threads=posix --libdir=/usr/lib/ghdl/gcc/lib --enable-nls --disable-bootstrap --enable-clocale=gnu --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-libstdcxx-backtrace --enable-gnu-unique-object --disable-libssp --disable-libgomp --disable-libitm --disable-libatomic --disable-libsanitizer --disable-libquadmath --disable-libquadmath-support --enable-plugin --enable-default-pie --with-system-zlib --enable-secureplt --enable-targets=powerpcle-linux --disable-multilib --enable-multiarch --disable-werror --with-long-double-128 --enable-offload-targets=nvptx-none=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/debian/tmp-nvptx/usr/lib/ghdl/gcc --enable-offload-defaulted --without-cuda-driver --enable-checking=release --build=powerpc64le-linux-gnu --host=powerpc64le-linux-gnu --target=powerpc64le-linux-gnu --with-build-config=bootstrap-lto-lean --enable-link-serialization=4 Using shell /bin/bash -e Architecture: ppc64el (GNU: powerpc64le-linux-gnu) Compilers: powerpc64le-linux-gnu-gcc-14 / powerpc64le-linux-gnu-g++-14 / / CPPFLAGS: CFLAGS: LDFLAGS: BOOT_CFLAGS: DEBIAN_BUILDARCH: Install prefix: /usr/lib/ghdl/gcc Will not build the biarch compilers Will not build the C++ compiler: Will not build the ObjC compiler: Will not build the Obj-C++ compiler: Will not build the Fortran 95 compiler: Will not build the Ada compiler: Will not build the Go compiler: Will not build the D compiler: Will not build the Modula-2 compiler: Will not build the Rust compiler: Will build with offload compilers: nvptx-none. Will build without SSP support: Will not run the testsuite: skipped for GHDL build Will enable national language support. ----------------------------------------------------------------------------- rm -f stamps/04-configure-stamp stamps/05-build-stamp cat debian/README.Debian stamps/02-patch-stamp > debian/README.Debian.ppc64el rm -rf /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build mkdir /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build : # some tools like gettext are built with a newer libstdc++ mkdir -p bin for i in msgfmt; do \ install -m755 debian/bin-wrapper.in bin/$i; \ done : # configure if cd /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build \ && PATH=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/bin:/usr/lib/powerpc64le-linux-gnu/gcc/bin:$PATH \ CC="powerpc64le-linux-gnu-gcc-14" CXX="powerpc64le-linux-gnu-g++-14" GNATMAKE="gnatmake -v -j8 -R -eS " CFLAGS_FOR_BUILD="-g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong" CXXFLAGS_FOR_BUILD="-g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong" LDFLAGS_FOR_BUILD="-Wl,-z,relro -Wl,-z,now" LDFLAGS_FOR_TARGET="-Wl,-z,relro" \ \ \ ../src/configure -v --with-pkgversion='Debian 14.2.0-19' --with-bugurl='file:///usr/share/doc/gcc-14/README.Bugs' --enable-languages=vhdl --prefix=/usr/lib/ghdl/gcc --with-gcc-major-version-only --program-suffix=-14 --program-prefix=powerpc64le-linux-gnu- --enable-shared --enable-linker-build-id --libexecdir=/usr/lib/ghdl/gcc/libexec --without-included-gettext --enable-threads=posix --libdir=/usr/lib/ghdl/gcc/lib --enable-nls --disable-bootstrap --enable-clocale=gnu --enable-libstdcxx-time=yes --with-default-libstdcxx-abi=new --enable-libstdcxx-backtrace --enable-gnu-unique-object --disable-libssp --disable-libgomp --disable-libitm --disable-libatomic --disable-libsanitizer --disable-libquadmath --disable-libquadmath-support --enable-plugin --enable-default-pie --with-system-zlib --enable-secureplt --enable-targets=powerpcle-linux --disable-multilib --enable-multiarch --disable-werror --with-long-double-128 --enable-offload-targets=nvptx-none=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/debian/tmp-nvptx/usr/lib/ghdl/gcc --enable-offload-defaulted --without-cuda-driver --enable-checking=release --build=powerpc64le-linux-gnu --host=powerpc64le-linux-gnu --target=powerpc64le-linux-gnu --with-build-config=bootstrap-lto-lean --enable-link-serialization=4; \ then \ : ; \ else \ s=$?; \ cat /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/config.log; \ exit $s; \ fi checking build system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... powerpc64le-unknown-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether ln works... yes checking whether ln -s works... yes checking for a sed that does not truncate output... /usr/bin/sed checking for gawk... gawk checking for libvtv support... no checking for libphobos support... yes checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... none needed checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C99... none needed checking whether we are using the GNU C++ compiler... yes checking whether powerpc64le-linux-gnu-g++-14 accepts -g... yes checking whether g++ accepts -static-libstdc++ -static-libgcc... yes checking for powerpc64le-linux-gnu-gnatbind... no checking for gnatbind... gnatbind checking for powerpc64le-linux-gnu-gnatmake... gnatmake -v -j8 -R -eS checking whether compiler driver understands Ada and is recent enough... yes checking for powerpc64le-linux-gnu-gdc... no checking for gdc... no checking whether the D compiler works... no checking for cargo... no checking how to compare bootstrapped objects... cmp --ignore-initial=16 $$f1 $$f2 checking whether powerpc64le-linux-gnu-g++-14 supports C++11 features by default... yes checking for objdir... .libs checking for the correct version of gmp.h... yes checking for the correct version of mpfr.h... yes checking for the correct version of mpc.h... yes checking for the correct version of the gmp/mpfr libraries... yes checking for the correct version of the mpc libraries... yes checking for isl 0.15 or later... yes The following languages will be built: c,lto,vhdl *** This configuration is not supported in the following subdirectories: zlib target-libquadmath target-libvtv gnattools gotools libgrust target-libada target-libstdc++-v3 target-libphobos target-zlib target-libbacktrace target-libgfortran target-libgo target-libffi target-libgm2 target-libobjc target-libgrust target-libgomp target-libatomic target-libitm target-libsanitizer target-libssp (Any other directories should still work fine.) checking for default BUILD_CONFIG... bootstrap-lto-lean checking for --enable-vtable-verify... no checking for bison... no checking for byacc... no checking for yacc... no checking for bison... no checking for gm4... no checking for gnum4... no checking for m4... m4 checking for flex... no checking for lex... no checking for flex... no checking for makeinfo... makeinfo checking for expect... no checking for runtest... no checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar checking for powerpc64le-linux-gnu-as... powerpc64le-linux-gnu-as checking for powerpc64le-linux-gnu-dlltool... no checking for dlltool... no checking for powerpc64le-linux-gnu-dsymutil... no checking for dsymutil... dsymutil checking for powerpc64le-linux-gnu-ld... powerpc64le-linux-gnu-ld checking for powerpc64le-linux-gnu-lipo... no checking for lipo... no checking for powerpc64le-linux-gnu-nm... powerpc64le-linux-gnu-nm checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib checking for powerpc64le-linux-gnu-strip... powerpc64le-linux-gnu-strip checking for powerpc64le-linux-gnu-windres... no checking for windres... no checking for powerpc64le-linux-gnu-windmc... no checking for windmc... no checking for powerpc64le-linux-gnu-objcopy... powerpc64le-linux-gnu-objcopy checking for powerpc64le-linux-gnu-objdump... powerpc64le-linux-gnu-objdump checking for powerpc64le-linux-gnu-otool... no checking for otool... no checking for powerpc64le-linux-gnu-readelf... powerpc64le-linux-gnu-readelf checking for -plugin option... checking for powerpc64le-linux-gnu-ar... (cached) powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for powerpc64le-linux-gnu-cc... no checking for cc... cc checking for powerpc64le-linux-gnu-c++... no checking for c++... c++ checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc checking for powerpc64le-linux-gnu-gfortran... no checking for gfortran... no checking for powerpc64le-linux-gnu-gccgo... no checking for gccgo... no checking for powerpc64le-linux-gnu-gdc... no checking for gdc... no checking for powerpc64le-linux-gnu-gm2... no checking for gm2... no checking for ar... no checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar checking for as... no checking for powerpc64le-linux-gnu-as... powerpc64le-linux-gnu-as checking for dlltool... no checking for powerpc64le-linux-gnu-dlltool... no checking for dlltool... no checking for dsymutil... no checking for powerpc64le-linux-gnu-dsymutil... no checking for dsymutil... dsymutil checking for ld... no checking for powerpc64le-linux-gnu-ld... powerpc64le-linux-gnu-ld checking for lipo... no checking for powerpc64le-linux-gnu-lipo... no checking for lipo... no checking for nm... no checking for powerpc64le-linux-gnu-nm... powerpc64le-linux-gnu-nm checking for objcopy... no checking for powerpc64le-linux-gnu-objcopy... powerpc64le-linux-gnu-objcopy checking for objdump... no checking for powerpc64le-linux-gnu-objdump... powerpc64le-linux-gnu-objdump checking for otool... no checking for powerpc64le-linux-gnu-otool... no checking for otool... no checking for ranlib... no checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib checking for readelf... no checking for powerpc64le-linux-gnu-readelf... powerpc64le-linux-gnu-readelf checking for strip... no checking for powerpc64le-linux-gnu-strip... powerpc64le-linux-gnu-strip checking for windres... no checking for powerpc64le-linux-gnu-windres... no checking for windres... no checking for windmc... no checking for powerpc64le-linux-gnu-windmc... no checking for windmc... no checking where to find the target ar... host tool checking where to find the target as... host tool checking where to find the target cc... just compiled checking where to find the target c++... host tool checking where to find the target c++ for libstdc++... host tool checking where to find the target dlltool... host tool checking where to find the target dsymutil... host tool checking where to find the target gcc... just compiled checking where to find the target gfortran... host tool checking where to find the target gccgo... host tool checking where to find the target gdc... host tool checking where to find the target gm2... host tool checking where to find the target ld... host tool checking where to find the target lipo... host tool checking where to find the target nm... host tool checking where to find the target objcopy... host tool checking where to find the target objdump... host tool checking where to find the target otool... host tool checking where to find the target ranlib... host tool checking where to find the target readelf... host tool checking where to find the target strip... host tool checking where to find the target windres... host tool checking where to find the target windmc... host tool checking whether to enable maintainer-specific portions of Makefiles... no configure: creating ./config.status config.status: creating Makefile : # multilib builds without b-d on gcc-multilib (used in FLAGS_FOR_TARGET) if [ -d /usr/include/powerpc64le-linux-gnu/asm ]; then \ mkdir -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include; \ ln -sf /usr/include/powerpc64le-linux-gnu/asm /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include/asm; \ fi : # Fall back to the host crypt.h when target is unavailable as the : #sizeof(struct crypt_data) is unlikely to change, needed by libsanitizer. if [ ! -f /usr/include/crypt.h ] && \ [ ! -f /usr/include/powerpc64le-linux-gnu/crypt.h ] && \ [ -f /usr/include/powerpc64le-linux-gnu/crypt.h ]; then \ mkdir -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include; \ ln -sf /usr/include/powerpc64le-linux-gnu/crypt.h \ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include/crypt.h; \ fi touch stamps/04-configure-stamp make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' touch /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/stamps/configure-gcc dh_testdir mkdir -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/stamps ------------------------------------------------------------ Configuring with llvm backend ------------------------------------------------------------ cd /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm && \ ../../configure --srcdir=../.. --prefix=/usr --disable-werror --incdir=lib/ghdl/include --libnativedir=lib/powerpc64le-linux-gnu --enable-gplcompat \ --libdir=lib/ghdl/llvm \ --libghdldir=lib/ghdl/llvm/vhdl \ --with-llvm-config gcc-14 (Debian 14.2.0-19) 14.2.0 Copyright (C) 2024 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. Build machine is: powerpc64le-linux-gnu Debugging is enabled with llvm 19.1.7 create pic/ subdirectory Creating Makefile Creating default_paths.ads Creating ghdl.gpr Creating scripts/gcc/Make-lang.in make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p lib/ghdl/llvm/vhdl/$d; \ done make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' Generate ghdlsynth_maybe.ads Generate grt-readline.ads touch /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/stamps/configure-llvm dh override_dh_auto_configure make[1]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg' debian/rules override_dh_auto_build make[1]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg' dh_testdir ------------------------------------------------------------ Building with gcc backend ------------------------------------------------------------ /usr/bin/make -j8 -C /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' mkdir -p -- ./fixincludes mkdir -p -- ./libiberty mkdir -p -- ./lto-plugin Configuring in ./fixincludes mkdir -p -- build-powerpc64le-linux-gnu/fixincludes Configuring in build-powerpc64le-linux-gnu/fixincludes Configuring in ./libiberty mkdir -p -- build-powerpc64le-linux-gnu/libiberty Configuring in ./lto-plugin mkdir -p -- build-powerpc64le-linux-gnu/libcpp mkdir -p -- ./libbacktrace mkdir -p -- ./libcody Configuring in build-powerpc64le-linux-gnu/libiberty Configuring in build-powerpc64le-linux-gnu/libcpp Configuring in ./libcody Configuring in ./libbacktrace configure: creating cache ./config.cache configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... no checking for makeinfo... makeinfo --split-size=5000000 configure: creating cache ./config.cache checking build system type... checking build system type... configure: creating cache ./config.cache checking whether to enable maintainer-specific portions of Makefiles... no checking for makeinfo... makeinfo --split-size=5000000 configure: creating cache ./config.cache configure: creating cache ./config.cache configure: creating cache ./config.cache powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking host system type... checking build system type... powerpc64le-unknown-linux-gnu checking target system type... checking build system type... checking build system type... configure: creating cache ./config.cache powerpc64le-unknown-linux-gnu checking maintainer-mode... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... powerpc64le-unknown-linux-gnu checking target system type... powerpc64le-unknown-linux-gnu powerpc64le-unknown-linux-gnu checking host system type... checking target system type... checking build system type... powerpc64le-unknown-linux-gnu yes checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 powerpc64le-unknown-linux-gnu checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... powerpc64le-unknown-linux-gnu checking target system type... checking for a thread-safe mkdir -p... powerpc64le-unknown-linux-gnu checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 checking whether the C++ compiler works... /usr/bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... powerpc64le-unknown-linux-gnu checking whether /usr/bin/make sets $(MAKE)... checking for perl... perl checking build system type... yes powerpc64le-unknown-linux-gnu checking host system type... yes checking for a BSD-compatible install... /usr/bin/install -c checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 checking whether the C compiler works... checking whether the C compiler works... checking whether /usr/bin/make supports nested variables... checking for perl... perl powerpc64le-unknown-linux-gnu checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for -plugin option... checking whether the C compiler works... yes checking for powerpc64le-linux-gnu-ar... (cached) powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking whether to enable maintainer-specific portions of Makefiles... no checking build system type... checking for style of include used by /usr/bin/make... checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 yes checking for C++ compiler default output file name... a.out checking for suffix of executables... yes checking for C compiler default output file name... a.out checking for suffix of executables... GNU checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 checking whether the C compiler works... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for -plugin option... checking for powerpc64le-linux-gnu-ar... (cached) powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking for C compiler default output file name... a.out --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for suffix of executables... checking whether we are cross compiling... checking whether to install libiberty headers and static library... no configure: target_header_dir = checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... checking whether the C compiler works... checking whether we are cross compiling... checking whether we are cross compiling... checking whether we are cross compiling... checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... no checking for suffix of object files... no checking for suffix of object files... no checking for suffix of object files... no checking for suffix of object files... o checking whether we are using the GNU C compiler... checking whether the C compiler works... o checking whether we are using the GNU C++ compiler... no checking for suffix of object files... checking whether we are cross compiling... o checking whether we are using the GNU C compiler... yes checking for C compiler default output file name... a.out checking for suffix of executables... o checking whether we are using the GNU C compiler... yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... yes checking whether powerpc64le-linux-gnu-g++-14 accepts -g... o checking whether we are using the GNU C compiler... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... yes checking whether powerpc64le-linux-gnu-g++-14 is for C++11... yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... checking whether we are cross compiling... no yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... checking for suffix of object files... yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... checking whether we are cross compiling... o checking whether we are using the GNU C compiler... none needed checking how to run the C preprocessor... none needed checking whether powerpc64le-linux-gnu-gcc-14 understands -c and -o together... yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... adding -std=c++11 none needed checking how to run the C preprocessor... checking adding -Wl,--no-undefined to linker... no yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... checking for suffix of object files... no checking for suffix of object files... yes checking how to run the C preprocessor... powerpc64le-linux-gnu-gcc-14 -E none needed powerpc64le-linux-gnu-gcc-14 -E yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... o checking whether we are using the GNU C compiler... o checking whether we are using the GNU C compiler... checking for grep that handles long lines and -e... ok /usr/bin/grep checking for egrep... powerpc64le-linux-gnu-gcc-14 -E /usr/bin/grep -E checking for ANSI C header files... checking exceptions... no checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking whether we are using the GNU C++ compiler... checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... /usr/bin/grep -E checking for ANSI C header files... yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... none needed checking whether powerpc64le-linux-gnu-gcc-14 understands -c and -o together... yes checking whether powerpc64le-linux-gnu-g++-14 accepts -g... yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... checking for grep that handles long lines and -e... yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... configure: updating cache ./config.cache /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... configure: creating ./config.status yes checking dependency style of powerpc64le-linux-gnu-gcc-14... yes checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking how to run the C preprocessor... none needed checking how to run the C preprocessor... none needed checking how to run the C preprocessor... powerpc64le-linux-gnu-gcc-14 -E gcc3 checking how to run the C preprocessor... powerpc64le-linux-gnu-gcc-14 -E powerpc64le-linux-gnu-gcc-14 -E powerpc64le-linux-gnu-gcc-14 -E checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... config.status: creating Makefile yes checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... checking for grep that handles long lines and -e... checking for sys/types.h... checking for grep that handles long lines and -e... config.status: creating config.h /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... /usr/bin/grep checking for egrep... yes /usr/bin/grep -E checking for ANSI C header files... checking for sys/types.h... yes yes checking for sys/stat.h... checking for sys/types.h... yes checking for sys/stat.h... mkdir -p -- ./libdecnumber yes Configuring in ./libdecnumber checking for stdlib.h... yes yes checking for sys/stat.h... checking for stdlib.h... yes yes yes yes checking for string.h... checking for stdlib.h... checking for string.h... yes checking for sys/types.h... yes checking for sys/types.h... checking for sys/types.h... yes yes yes checking for sys/types.h... checking for string.h... yes checking for memory.h... yes yes yes checking for sys/stat.h... checking for memory.h... checking for sys/stat.h... checking for sys/stat.h... yes yes yes yes checking for strings.h... checking for memory.h... checking for sys/stat.h... checking for strings.h... yes yes checking for stdlib.h... yes checking for stdlib.h... yes checking for stdlib.h... yes yes checking for stdlib.h... checking for inttypes.h... yes yes checking for inttypes.h... yes checking for strings.h... yes checking for string.h... checking for string.h... checking for string.h... yes yes yes checking for string.h... yes checking for stdint.h... checking for stdint.h... yes yes checking for memory.h... yes checking for memory.h... checking for inttypes.h... checking for memory.h... yes yes yes checking for unistd.h... checking for memory.h... yes checking for unistd.h... yes yes checking for strings.h... yes checking for strings.h... yes checking for strings.h... checking minix/config.h usability... checking for stdint.h... yes yes yes checking minix/config.h usability... checking for strings.h... yes checking for inttypes.h... checking for inttypes.h... yes yes no checking minix/config.h presence... yes checking for inttypes.h... checking for unistd.h... checking for inttypes.h... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... no checking minix/config.h presence... yes yes checking for stdint.h... checking for stdint.h... yes yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking for stdint.h... yes checking for a sed that does not truncate output... yes checking for stdint.h... /usr/bin/sed checking minix/config.h usability... checking whether powerpc64le-linux-gnu-gcc-14 supports -W... yes yes checking for unistd.h... yes yes checking for a sed that does not truncate output... checking for unistd.h... /usr/bin/sed yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wall... checking whether powerpc64le-linux-gnu-gcc-14 supports -W... yes configure: creating cache ./config.cache checking whether /usr/bin/make sets $(MAKE)... checking for unistd.h... checking for unistd.h... yes yes checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 checking whether powerpc64le-linux-gnu-gcc-14 supports -Wwrite-strings... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wall... no checking minix/config.h presence... yes checking minix/config.h usability... checking whether the C compiler works... yes yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wstrict-prototypes... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wwrite-strings... checking minix/config.h usability... yes yes checking minix/config.h usability... yes checking minix/config.h usability... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-prototypes... yes no checking minix/config.h presence... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wstrict-prototypes... no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking for a thread-safe mkdir -p... no checking minix/config.h presence... yes /usr/bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... no checking minix/config.h presence... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wold-style-definition... yes yes no checking minix/config.h presence... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-prototypes... checking whether we are cross compiling... checking whether /usr/bin/make supports nested variables... yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... checking whether to enable maintainer-specific portions of Makefiles... no checking for powerpc64le-linux-gnu-gcc... (cached) powerpc64le-linux-gnu-gcc-14 no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes no checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-format-attribute... checking for suffix of object files... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wold-style-definition... checking whether we are using the GNU C compiler... (cached) yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... (cached) yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... (cached) none needed checking whether powerpc64le-linux-gnu-gcc-14 understands -c and -o together... (cached) yes checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for gawk... (cached) gawk checking for dwz... dwz checking how to print strings... no o checking whether we are using the GNU C compiler... printf checking for a sed that does not truncate output... checking whether powerpc64le-linux-gnu-gcc-14 supports -W... yes yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... yes /usr/bin/sed checking for fgrep... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-format-attribute... checking whether powerpc64le-linux-gnu-gcc-14 supports -Woverlength-strings... /usr/bin/grep -F checking for ld used by powerpc64le-linux-gnu-gcc-14... powerpc64le-linux-gnu-ld checking if the linker (powerpc64le-linux-gnu-ld) is GNU ld... yes yes checking for powerpc64le-linux-gnu-gcc... (cached) powerpc64le-linux-gnu-gcc-14 yes checking for BSD- or MS-compatible name lister (nm)... yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wall... no yes checking for aclocal... aclocal checking whether powerpc64le-linux-gnu-gcc-14 supports -pedantic -Wlong-long... checking for autoconf... no autoconf checking for autoheader... autoheader checking whether powerpc64le-linux-gnu-gcc-14 supports -W... yes yes checking for ANSI C header files... (cached) yes checking whether powerpc64le-linux-gnu-gcc-14 supports -W... yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... checking whether powerpc64le-linux-gnu-gcc-14 supports -Woverlength-strings... checking stddef.h usability... yes checking whether we are using the GNU C compiler... (cached) yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... (cached) yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... (cached) none needed checking whether powerpc64le-linux-gnu-gcc-14 understands -c and -o together... (cached) yes checking dependency style of powerpc64le-linux-gnu-gcc-14... (cached) gcc3 checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wwrite-strings... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wall... yes yes checking whether powerpc64le-linux-gnu-gcc-14 supports -pedantic -Wlong-long... yes checking stddef.h presence... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wall... /usr/bin/powerpc64le-linux-gnu-nm -B checking the name lister (/usr/bin/powerpc64le-linux-gnu-nm -B) interface... none needed checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader yes checking for stddef.h... yes yes no yes checking whether powerpc64le-linux-gnu-gcc-14 supports -W... checking for ANSI C header files... (cached) yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wc++-compat... checking for stdlib.h... (cached) yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wall... checking stddef.h usability... yes checking for strings.h... (cached) yes yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wwrite-strings... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wnarrowing... checking for unistd.h... (cached) yes yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wstrict-prototypes... yes checking fcntl.h usability... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wall... checking for -static-libgcc... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... yes yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wc++-compat... yes checking stddef.h presence... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wwrite-strings... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wwrite-strings... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes yes checking for stddef.h... yes yes checking for powerpc64le-linux-gnu-ld option to reload object files... -r checking for powerpc64le-linux-gnu-objdump... powerpc64le-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking whether powerpc64le-linux-gnu-gcc-14 supports -Wshadow=local... yes yes checking for powerpc64le-linux-gnu-strip... powerpc64le-linux-gnu-strip checking for powerpc64le-linux-gnu-ranlib... (cached) powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking whether powerpc64le-linux-gnu-gcc-14 supports -Wstrict-prototypes... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wstrict-prototypes... checking for stdlib.h... (cached) yes checking command to parse /usr/bin/powerpc64le-linux-gnu-nm -B output from powerpc64le-linux-gnu-gcc-14 object... yes yes checking fcntl.h presence... checking for strings.h... (cached) yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-format-attribute... yes checking for CET support... no checking whether symbol versioning is supported... yes yes checking for fcntl.h... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -pedantic ... checking for unistd.h... (cached) yes yes checking fcntl.h usability... checking sys/file.h usability... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-prototypes... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wshadow=local... yes checking whether powerpc64le-linux-gnu-gcc-14 and cc understand -c and -o together... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wstrict-prototypes... gnu checking pthread.h usability... yes yes checking whether powerpc64le-linux-gnu-gcc-14 supports -pedantic ... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wold-style-definition... yes yes checking fcntl.h presence... yes checking sys/file.h presence... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-prototypes... yes checking for fcntl.h... yes yes checking for sys/file.h... yes yes checking whether powerpc64le-linux-gnu-gcc-14 and cc understand -c and -o together... yes yes checking sys/file.h usability... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-format-attribute... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wold-style-definition... checking for sys/stat.h... (cached) yes ok yes checking pthread.h presence... checking for clearerr_unlocked... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wcast-qual... yes checking for pthread.h... yes checking how to print strings... printf checking for a sed that does not truncate output... checking for dlfcn.h... yes /usr/bin/sed checking for fgrep... /usr/bin/grep -F checking for ld used by powerpc64le-linux-gnu-gcc-14... checking whether powerpc64le-linux-gnu-gcc-14 supports -pedantic -Wlong-long... powerpc64le-linux-gnu-ld checking if the linker (powerpc64le-linux-gnu-ld) is GNU ld... yes yes checking for BSD- or MS-compatible name lister (nm)... checking whether powerpc64le-linux-gnu-gcc-14 supports -Wc++-compat... yes yes checking sys/file.h presence... checking for an ANSI C-conforming const... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -fno-lto... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -pedantic -Wlong-long... yes checking for sys/file.h... yes yes yes checking how to run the C preprocessor... yes yes checking for inline... checking for objdir... checking for sys/stat.h... (cached) yes .libs checking for feof_unlocked... /usr/bin/powerpc64le-linux-gnu-nm -B checking the name lister (/usr/bin/powerpc64le-linux-gnu-nm -B) interface... checking for clearerr_unlocked... yes checking for an ANSI C-conforming const... yes inline checking whether byte ordering is bigendian... checking whether powerpc64le-linux-gnu-gcc-14 supports -fno-exceptions... powerpc64le-linux-gnu-gcc-14 -E yes checking for inline... yes yes BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... inline checking whether byte ordering is bigendian... 1572864 checking whether the shell understands some XSI constructs... checking for ferror_unlocked... checking for feof_unlocked... yes checking whether the shell understands "+="... yes yes checking for powerpc64le-linux-gnu-ld option to reload object files... -r checking for powerpc64le-linux-gnu-objdump... powerpc64le-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for grep that handles long lines and -e... checking whether powerpc64le-linux-gnu-gcc-14 supports -fno-rtti... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... checking if powerpc64le-linux-gnu-gcc-14 supports -fno-rtti -fno-exceptions... checking for powerpc64le-linux-gnu-strip... powerpc64le-linux-gnu-strip checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking command to parse /usr/bin/powerpc64le-linux-gnu-nm -B output from powerpc64le-linux-gnu-gcc-14 object... yes yes yes checking for fflush_unlocked... no checking for powerpc64le-linux-gnu-gcc-14 option to produce PIC... -fPIC -DPIC checking if powerpc64le-linux-gnu-gcc-14 PIC flag -fPIC -DPIC works... checking for ferror_unlocked... checking dependency style of powerpc64le-linux-gnu-g++-14... no checking for a BSD-compatible install... /usr/bin/install -c checking for CET support... no checking target system type... yes checking if powerpc64le-linux-gnu-gcc-14 static flag -static works... powerpc64le-unknown-linux-gnu yes checking for sys/file.h... yes checking for fgetc_unlocked... yes checking for fflush_unlocked... ok no checking for a BSD-compatible install... /usr/bin/install -c checking for CET support... no checking target system type... checking for sys/param.h... gcc3 checking whether time.h and sys/time.h may both be included... checking for dlfcn.h... powerpc64le-unknown-linux-gnu yes yes checking if powerpc64le-linux-gnu-gcc-14 supports -c -o file.o... yes yes yes yes checking whether string.h and strings.h may both be included... checking for fgets_unlocked... checking for fgetc_unlocked... checking for sys/types.h... checking for limits.h... checking for sys/file.h... yes yes checking for objdir... .libs yes yes checking locale.h usability... yes yes checking for sys/param.h... yes checking for stdlib.h... (cached) yes checking for malloc.h... checking for fgets_unlocked... checking for sys/stat.h... yes checking for fileno_unlocked... checking if powerpc64le-linux-gnu-gcc-14 supports -c -o file.o... (cached) yes checking whether the powerpc64le-linux-gnu-gcc-14 linker (powerpc64le-linux-gnu-ld) supports shared libraries... yes yes yes checking whether -lc should be explicitly linked in... checking for limits.h... checking for string.h... (cached) yes yes yes yes checking locale.h presence... checking for unistd.h... (cached) yes checking for stdlib.h... checking for stdlib.h... (cached) yes yes checking for strings.h... (cached) yes yes checking for malloc.h... no checking dynamic linker characteristics... yes checking for locale.h... yes checking for fileno_unlocked... checking if powerpc64le-linux-gnu-gcc-14 supports -fno-rtti -fno-exceptions... checking for fprintf_unlocked... checking for sys/time.h... checking fcntl.h usability... yes yes checking for string.h... (cached) yes yes checking for unistd.h... (cached) yes no checking for powerpc64le-linux-gnu-gcc-14 option to produce PIC... -fPIC -DPIC checking if powerpc64le-linux-gnu-gcc-14 PIC flag -fPIC -DPIC works... checking for string.h... checking for time.h... yes checking for strings.h... (cached) yes checking for fprintf_unlocked... yes checking if powerpc64le-linux-gnu-gcc-14 static flag -static works... checking for sys/time.h... yes no yes checking fcntl.h presence... checking for sys/resource.h... checking for fputc_unlocked... yes yes yes yes checking for fcntl.h... yes checking for time.h... checking for memory.h... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... checking limits.h usability... yes checking for sys/stat.h... (cached) yes checking for sys/resource.h... no checking for fputc_unlocked... yes checking for sys/mman.h... yes checking if powerpc64le-linux-gnu-gcc-14 supports -c -o file.o... checking for fputs_unlocked... yes yes yes no checking unwind.h usability... checking for sys/stat.h... (cached) yes yes checking for fcntl.h... checking for strings.h... checking limits.h presence... checking for sys/mman.h... yes checking for limits.h... yes yes checking if powerpc64le-linux-gnu-gcc-14 supports -c -o file.o... (cached) yes checking whether the powerpc64le-linux-gnu-gcc-14 linker (powerpc64le-linux-gnu-ld) supports shared libraries... yes yes yes yes yes checking unwind.h presence... checking stddef.h usability... checking for fputs_unlocked... yes yes checking whether -lc should be explicitly linked in... checking for alloca.h... checking for fcntl.h... checking for fread_unlocked... checking for inttypes.h... yes checking for unwind.h... yes checking for _Unwind_Backtrace... yes checking for sys/pstat.h... yes no checking dynamic linker characteristics... yes checking stddef.h presence... no checking for alloca.h... checking for sys/sysmp.h... yes yes yes checking for stddef.h... yes checking for -funwind-tables option... yes yes checking for stdint.h... checking for sys/pstat.h... checking for stdlib.h... (cached) yes checking for fread_unlocked... yes no checking for strings.h... (cached) yes checking for sys/sysinfo.h... checking for fwrite_unlocked... yes checking for -frandom-seed=string option... checking for string.h... (cached) yes no yes checking for sys/sysmp.h... checking sys/file.h usability... checking for machine/hal_sysinfo.h... yes yes GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... no checking whether powerpc64le-linux-gnu-gcc-14 supports -W... checking for sys/sysinfo.h... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking for int64_t... yes checking for unistd.h... no yes checking for fwrite_unlocked... checking for machine/hal_sysinfo.h... checking for sys/table.h... yes yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wall... yes checking sys/file.h presence... no checking for getchar_unlocked... no checking for sys/sysctl.h... checking for sys/table.h... yes yes checking for sys/file.h... yes yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wwrite-strings... checking for unistd.h... (cached) yes checking ctype.h usability... no no yes checking whether byte ordering is bigendian... checking for sys/sysctl.h... checking for sys/systemcfg.h... yes yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wstrict-prototypes... checking for getchar_unlocked... no checking for getc_unlocked... no checking for sys/systemcfg.h... checking for stdint.h... (cached) yes yes checking ctype.h presence... yes yes checking for uint64_t... no checking for stdio_ext.h... yes checking for getc_unlocked... checking for stdint.h... (cached) yes yes checking for ctype.h... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-prototypes... checking stddef.h usability... checking for stdio_ext.h... yes yes checking for process.h... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wold-style-definition... checking for process.h... yes yes checking stddef.h presence... no checking for putchar_unlocked... no yes checking for stddef.h... yes checking for sys/prctl.h... checking for sys/prctl.h... yes yes checking for string.h... (cached) yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-format-attribute... yes checking for sys/wait.h that is POSIX.1 compatible... yes no checking for an ANSI C-conforming const... yes checking for putchar_unlocked... checking for spawn.h... checking stdio.h usability... checking for spawn.h... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wcast-qual... yes yes yes yes checking for sys/wait.h that is POSIX.1 compatible... yes checking for inline... checking for sys/wait.h that is POSIX.1 compatible... checking for putc_unlocked... inline checking for obstacks... yes yes checking stdio.h presence... yes checking for _Unwind_GetIPInfo... checking for putc_unlocked... configure: updating cache ./config.cache yes checking for stdio.h... yes yes checking that generated files are newer than configure... done configure: creating ./config.status yes checking whether time.h and sys/time.h may both be included... looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes checking whether time.h and sys/time.h may both be included... checking whether abort is declared... yes yes checking whether errno must be declared... yes checking whether errno must be declared... checking whether abort is declared... yes checking for CET support... no checking __sync extensions... no checking size of int... yes checking for off_t... yes checking for uintptr_t... no checking size of int... yes checking whether asprintf is declared... yes checking __atomic extensions... yes checking whether asprintf is declared... yes checking for int_least32_t... yes checking output filetype... yes checking whether basename is declared... 4 checking size of long... 4 checking size of long... elf64 looking for a compliant stdint.h in stdint.h, checking for uintmax_t... yes yes yes checking for int_fast32_t... checking whether errno is declared... checking whether basename is declared... yes checking for size_t... 8 checking size of size_t... yes checking for uintptr_t... yes checking whether errno is declared... yes checking for uint64_t... no 8 checking size of size_t... checking whether vasprintf is declared... yes checking for int_least32_t... yes checking for ssize_t... yes checking what to include in gstdint.h... 8 checking for long long... stdint.h (already complete) checking for an ANSI C-conforming const... no checking whether vasprintf is declared... yes yes checking for off_t... checking whether memmem is declared... 8 checking for long long... yes checking for int_fast32_t... yes yes checking whether memmem is declared... checking whether clearerr_unlocked is declared... yes checking for uintptr_t... yes checking size of int... yes checking size of long long... yes checking for uint64_t... yes checking whether feof_unlocked is declared... yes yes checking size of long long... checking whether clearerr_unlocked is declared... 4 checking size of long... yes checking what to include in gstdint.h... stdint.h (already complete) checking sys/mman.h usability... yes 8 checking for a 64-bit type... checking whether ferror_unlocked is declared... yes uint64_t yes checking for ptrdiff_t... checking for intptr_t... checking whether feof_unlocked is declared... yes checking sys/mman.h presence... 8 checking for ANSI C header files... (cached) yes checking build system type... 8 checking for a 64-bit type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... yes checking for sys/mman.h... yes checking for mmap... yes yes powerpc64le-unknown-linux-gnu checking for decimal floating point... dpd checking whether byte ordering is bigendian... checking whether fflush_unlocked is declared... uint64_t checking for intptr_t... checking whether ferror_unlocked is declared... yes checking for uintptr_t... yes yes checking for uint64_t... yes checking whether fgetc_unlocked is declared... yes checking whether fflush_unlocked is declared... checking link.h usability... yes checking whether struct tm is in sys/time.h or time.h... no checking for CET support... no yes time.h checking size of int... yes checking for uintptr_t... checking whether fgets_unlocked is declared... configure: updating cache ./config.cache yes configure: creating ./config.status checking whether fgetc_unlocked is declared... yes checking link.h presence... yes checking for ssize_t... yes checking for link.h... yes checking sys/link.h usability... yes checking whether fileno_unlocked is declared... yes checking whether fgets_unlocked is declared... 4 yes checking for ssize_t... checking size of long... no checking sys/link.h presence... yes yes checking whether fprintf_unlocked is declared... checking for pid_t... yes no checking for sys/link.h... no checking for dl_iterate_phdr... config.status: creating Makefile checking whether fileno_unlocked is declared... config.status: creating config.h 8 checking for clearerr_unlocked... config.status: executing depfiles commands config.status: creating Makefile yes checking mach-o/dyld.h usability... no checking whether fputc_unlocked is declared... yes config.status: creating config.h config.status: executing libtool commands checking whether fprintf_unlocked is declared... yes checking for pid_t... config.status: executing gstdint.h commands yes checking for feof_unlocked... yes checking for library containing strerror... no checking mach-o/dyld.h presence... yes no checking whether fputs_unlocked is declared... no checking for mach-o/dyld.h... no checking whether fputc_unlocked is declared... checking sys/ldr.h usability... mkdir -p -- ./c++tools none required Configuring in ./c++tools checking for asprintf... yes yes make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcody' checking whether fputs_unlocked is declared... checking for ferror_unlocked... powerpc64le-linux-gnu-g++-14 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF buffer.d -c -o buffer.o ../../src/libcody/buffer.cc yes checking whether fread_unlocked is declared... no checking sys/ldr.h presence... yes checking for library containing strerror... yes yes checking whether fread_unlocked is declared... yes checking for atexit... checking for fflush_unlocked... yes no checking for sys/ldr.h... no checking windows.h usability... checking whether fwrite_unlocked is declared... none required yes checking for asprintf... yes checking whether fwrite_unlocked is declared... checking for basename... no checking windows.h presence... yes checking for fgetc_unlocked... yes no checking for windows.h... no checking for fcntl... checking whether getchar_unlocked is declared... yes yes checking whether getchar_unlocked is declared... yes checking for atexit... checking for bcmp... yes checking whether strnlen is declared... yes yes checking whether getc_unlocked is declared... checking for fgets_unlocked... yes yes yes checking whether getc_unlocked is declared... yes checking for basename... checking whether getpagesize is declared... checking whether putchar_unlocked is declared... yes yes checking for bcopy... checking for fileno_unlocked... yes yes yes checking whether putchar_unlocked is declared... checking for bcmp... checking for lstat... yes yes checking whether putc_unlocked is declared... yes checking for bsearch... checking for fprintf_unlocked... yes yes yes yes checking for an ANSI C-conforming const... checking for readlink... checking for bcopy... checking whether putc_unlocked is declared... yes yes checking sys/mman.h usability... no checking for fputc_unlocked... checking for bzero... yes checking for an ANSI C-conforming const... yes yes yes checking sys/mman.h presence... checking for bsearch... checking for getexecname... yes checking sys/mman.h usability... configure: creating cache ./config.cache checking build system type... yes checking for sys/mman.h... yes checking for mmap... powerpc64le-unknown-linux-gnu checking host system type... yes yes powerpc64le-unknown-linux-gnu checking target system type... yes powerpc64le-unknown-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking for calloc... checking for bzero... checking for fputs_unlocked... no yes checking whether _pgmptr is declared... checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes checking sys/mman.h presence... checking whether the C++ compiler works... yes checking for sys/mman.h... yes checking for mmap... yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether to enable maintainer-specific portions of Makefiles... no yes yes yes no checking for KERN_PROC... checking for clock... checking for fread_unlocked... checking for calloc... configure: updating cache ./config.cache yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... no checking for KERN_PROG_ARGS... yes checking for C++ compiler default output file name... a.out checking for suffix of executables... configure: creating ./config.status yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking whether to enable maintainer-specific portions of Makefiles... no yes no checking for clock_gettime... yes checking whether we are cross compiling... yes checking for ffs... checking for clock... checking for fwrite_unlocked... configure: updating cache ./config.cache configure: creating ./config.status yes no yes yes checking whether -pthread is supported... checking for suffix of object files... checking for getcwd... checking for ffs... yes checking whether -gdwarf-5 is supported... o checking whether we are using the GNU C++ compiler... yes yes checking whether powerpc64le-linux-gnu-g++-14 accepts -g... checking for getchar_unlocked... yes yes checking for compress in -lz... yes checking for getpagesize... checking for autoconf... autoconf checking for autoheader... autoheader checking whether to build C++ tools... yes checking maintainer-mode... no checking for O_CLOEXEC... yes checking for getcwd... config.status: creating Makefile yes powerpc64le-linux-gnu-g++-14 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF client.d -c -o client.o ../../src/libcody/client.cc checking for getc_unlocked... config.status: creating mkheaders.almost yes checking how to run the C++ preprocessor... yes yes checking whether --build-id is supported... config.status: creating Makefile config.status: creating config.h checking for gettimeofday... yes powerpc64le-linux-gnu-g++-14 -E yes checking for getpagesize... config.status: creating mkheaders.almost yes checking whether --compress-debug-sections=zlib-gnu is supported... checking for putchar_unlocked... config.status: creating config.h yes checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... checking for index... yes yes powerpc64le-linux-gnu-g++-14 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF netclient.d -c -o netclient.o ../../src/libcody/netclient.cc yes checking whether --compress-debug-sections=zlib-gabi is supported... checking for putc_unlocked... checking for gettimeofday... yes yes make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libdecnumber' source='../../src/libdecnumber/decNumber.c' object='decNumber.o' libtool=no powerpc64le-linux-gnu-gcc-14 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/decNumber.c yes checking for ZSTD_compress in -lzstd... yes checking for insque... checking for index... checking whether abort is declared... no checking whether --compress-debug-sections=zstd is supported... yes checking for memchr... yes yes checking for insque... checking whether asprintf is declared... yes checking for objcopy... objcopy checking for readelf... powerpc64le-linux-gnu-readelf checking whether objcopy supports debuglink... yes checking for dsymutil... dsymutil checking for nm... /usr/bin/powerpc64le-linux-gnu-nm -B checking for xz... xz checking for comm... comm checking for lzma_auto_decoder in -llzma... yes checking for memcmp... yes checking for memchr... yes checking for sys/types.h... yes no checking whether tests can run... checking whether basename is declared... yes checking for memcpy... yes yes yes yes checking for memcmp... checking for sys/stat.h... checking whether errno is declared... yes configure: updating cache ./config.cache checking for memmem... checking that generated files are newer than configure... done yes configure: creating ./config.status checking for memcpy... yes checking for stdlib.h... no checking whether getopt is declared... powerpc64le-linux-gnu-g++-14 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF netserver.d -c -o netserver.o ../../src/libcody/netserver.cc yes yes yes checking for memmove... checking for memmem... checking for string.h... yes checking whether vasprintf is declared... yes yes yes checking for mempcpy... checking for memory.h... checking for memmove... yes checking whether clearerr_unlocked is declared... yes yes yes checking for memset... checking for strings.h... checking for mempcpy... yes checking whether feof_unlocked is declared... yes yes yes checking for memset... checking for mkstemps... checking for inttypes.h... yes checking whether ferror_unlocked is declared... powerpc64le-linux-gnu-g++-14 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF resolver.d -c -o resolver.o ../../src/libcody/resolver.cc yes yes yes checking for putenv... checking for stdint.h... checking for mkstemps... yes checking whether fflush_unlocked is declared... powerpc64le-linux-gnu-g++-14 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF packet.d -c -o packet.o ../../src/libcody/packet.cc yes yes yes checking for putenv... checking for random... checking for unistd.h... yes checking whether fgetc_unlocked is declared... yes yes checking for rename... yes checking for random... yes checking sys/mman.h usability... checking whether fgets_unlocked is declared... yes yes checking for rindex... checking for rename... yes checking whether fileno_unlocked is declared... yes checking sys/mman.h presence... yes yes yes checking for sys/mman.h... yes checking for AF_UNIX... checking for setenv... checking for rindex... yes checking whether fprintf_unlocked is declared... yes checking for AF_INET6... yes yes checking for snprintf... yes checking for epoll... checking for setenv... no powerpc64le-linux-gnu-g++-14 -g -O2 -fno-exceptions -fno-rtti -include config.h -I../../src/libcody \ -MMD -MP -MF server.d -c -o server.o ../../src/libcody/server.cc checking whether fputc_unlocked is declared... powerpc64le-linux-gnu-g++-14 -g -O2 -fno-exceptions -fno-rtti -include config.h -DSRCDIR='"../../src/libcody"' -I../../src/libcody \ -MMD -MP -MF fatal.d -c -o fatal.o ../../src/libcody/fatal.cc yes checking for pselect... yes checking for select... yes yes checking whether fputs_unlocked is declared... yes checking for sigsetmask... checking for snprintf... yes checking for accept4... yes yes yes yes checking for inet_ntop... checking for stpcpy... checking for sigsetmask... checking whether fread_unlocked is declared... yes checking for library containing gethostbyname... yes checking for stpcpy... yes yes checking whether fwrite_unlocked is declared... checking for stpncpy... config.status: creating Makefile none required checking for library containing socket... yes config.status: creating backtrace-supported.h checking for stpncpy... yes none required yes checking whether getchar_unlocked is declared... config.status: creating install-debuginfo-for-buildid.sh checking for strcasecmp... yes config.status: creating config.h configure: updating cache ./config.cache checking for strcasecmp... config.status: executing libtool commands configure: creating ./config.status yes checking whether getc_unlocked is declared... source='../../src/libdecnumber/decContext.c' object='decContext.o' libtool=no powerpc64le-linux-gnu-gcc-14 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/decContext.c config.status: executing gstdint.h commands yes yes config.status: executing default commands checking for strchr... checking for strchr... yes checking whether putchar_unlocked is declared... yes checking for strdup... yes make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' yes checking for strdup... /usr/bin/make all-am make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o atomic.lo ../../src/libbacktrace/atomic.c checking whether putc_unlocked is declared... yes libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -fPIC -DPIC -o .libs/atomic.o checking for strncasecmp... config.status: creating Makefile yes libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=atomic.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/atomic.c -o atomic.o >/dev/null 2>&1 checking for strncasecmp... yes config.status: creating config.h checking for working alloca.h... yes source='../../src/libdecnumber/dpd/decimal32.c' object='decimal32.o' libtool=no powerpc64le-linux-gnu-gcc-14 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/dpd/decimal32.c true DO=all multi-do # /usr/bin/make /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o dwarf.lo ../../src/libbacktrace/dwarf.c yes checking for strndup... libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -fPIC -DPIC -o .libs/dwarf.o /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o fileline.lo ../../src/libbacktrace/fileline.c yes checking for alloca... checking for strndup... libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -fPIC -DPIC -o .libs/fileline.o yes yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... checking for strnlen... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so -cr libcody.a buffer.o client.o fatal.o netclient.o netserver.o resolver.o packet.o server.o yes powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libcody.a make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcody' checking for strnlen... source='../../src/libdecnumber/dpd/decimal64.c' object='decimal64.o' libtool=no powerpc64le-linux-gnu-gcc-14 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/dpd/decimal64.c source='../../src/libdecnumber/dpd/decimal128.c' object='decimal128.o' libtool=no powerpc64le-linux-gnu-gcc-14 -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -pedantic -Wno-long-long -fno-lto -I../../src/libdecnumber -I. -Wdate-time -D_FORTIFY_SOURCE=2 -c ../../src/libdecnumber/dpd/decimal128.c libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=fileline.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/fileline.c -o fileline.o >/dev/null 2>&1 yes yes checking whether NLS is requested... no checking for msgfmt... /usr/bin/msgfmt checking for gmsgfmt... /usr/bin/msgfmt checking for strrchr... checking for xgettext... /usr/bin/xgettext yes checking for msgmerge... /usr/bin/msgmerge checking for strrchr... /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o posix.lo ../../src/libbacktrace/posix.c yes checking for ld used by GCC... checking for strstr... powerpc64le-linux-gnu-ld checking if the linker (powerpc64le-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -fPIC -DPIC -o .libs/posix.o yes checking for strstr... done libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=posix.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/posix.c -o posix.o >/dev/null 2>&1 yes checking for CFPreferencesCopyAppValue... checking for strtod... no checking for CFLocaleCopyPreferredLanguages... yes /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o print.lo ../../src/libbacktrace/print.c checking for strtod... no checking whether to use NLS... no checking whether NLS is requested... no checking for uchar... yes libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -fPIC -DPIC -o .libs/print.o /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o sort.lo ../../src/libbacktrace/sort.c checking for strtol... libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -fPIC -DPIC -o .libs/sort.o checking size of ino_t... /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o state.lo ../../src/libbacktrace/state.c yes libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=print.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/print.c -o print.o >/dev/null 2>&1 libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -fPIC -DPIC -o .libs/state.o checking for strtol... yes checking for strtoul... /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o backtrace.lo ../../src/libbacktrace/backtrace.c libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=sort.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/sort.c -o sort.o >/dev/null 2>&1 libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=state.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/state.c -o state.o >/dev/null 2>&1 yes libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -fPIC -DPIC -o .libs/backtrace.o 8 checking for strtoul... checking size of dev_t... yes /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o simple.lo ../../src/libbacktrace/simple.c checking for strtoll... /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o elf.lo ../../src/libbacktrace/elf.c yes libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -fPIC -DPIC -o .libs/simple.o checking for strtoll... libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=backtrace.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/backtrace.c -o backtrace.o >/dev/null 2>&1 libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -fPIC -DPIC -o .libs/elf.o yes libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=simple.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/simple.c -o simple.o >/dev/null 2>&1 checking for strtoull... 8 checking for iconv... (cached) no checking for CET support... no yes /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmapio.lo ../../src/libbacktrace/mmapio.c checking for strtoull... /bin/bash ./libtool --tag=CC --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c -o mmap.lo ../../src/libbacktrace/mmap.c libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -fPIC -DPIC -o .libs/mmapio.o yes configure: updating cache ./config.cache configure: creating ./config.status checking for strverscmp... libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -fPIC -DPIC -o .libs/mmap.o yes checking for strverscmp... libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmapio.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmapio.c -o mmapio.o >/dev/null 2>&1 yes checking for tmpnam... yes checking for tmpnam... rm -f libdecnumber.a powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so cru libdecnumber.a decNumber.o decContext.o decimal32.o decimal64.o decimal128.o powerpc64le-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') yes powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libdecnumber.a make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libdecnumber' libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=mmap.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/mmap.c -o mmap.o >/dev/null 2>&1 checking for vasprintf... yes yes checking for vasprintf... config.status: creating Makefile checking for vfprintf... config.status: creating config.h config.status: executing depdir commands mkdir -p -- .deps config.status: executing default-1 commands yes checking for vfprintf... yes checking for vprintf... yes checking for vprintf... yes checking for vsnprintf... yes checking for vsnprintf... yes checking for vsprintf... yes checking for vsprintf... yes checking for waitpid... yes checking for waitpid... yes checking for setproctitle... yes checking for setproctitle... no checking whether alloca needs Cray hooks... no checking stack direction for C alloca... no checking whether alloca needs Cray hooks... no checking stack direction for C alloca... -1 checking for vfork.h... no checking for fork... 1 checking for vfork.h... libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=dwarf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/dwarf.c -o dwarf.o >/dev/null 2>&1 no checking for fork... yes checking for vfork... yes checking for vfork... yes checking for working fork... yes checking for working fork... yes checking for working vfork... (cached) yes checking for _doprnt... yes checking for working vfork... (cached) yes checking for _doprnt... no checking for sys_errlist... no checking for sys_errlist... no checking for sys_nerr... no no checking for sys_siglist... checking for sys_nerr... no no checking for sys_siglist... checking for external symbol _system_configuration... no checking for __fsetlocking... no checking for external symbol _system_configuration... yes no checking for __fsetlocking... checking for canonicalize_file_name... yes yes checking for dup3... checking for canonicalize_file_name... yes yes checking for getrlimit... checking for dup3... yes yes checking for getrusage... checking for getrlimit... yes yes checking for getsysinfo... checking for getrusage... yes no checking for getsysinfo... checking for gettimeofday... (cached) yes checking for on_exit... yes no checking for gettimeofday... (cached) yes checking for pipe2... checking for on_exit... yes yes checking for pipe2... checking for posix_spawn... yes yes checking for posix_spawn... checking for posix_spawnp... yes yes checking for psignal... checking for posix_spawnp... yes yes checking for psignal... checking for pstat_getdynamic... yes no checking for pstat_getdynamic... checking for pstat_getstatic... no no checking for pstat_getstatic... checking for realpath... yes no checking for setrlimit... checking for realpath... yes yes checking for setrlimit... checking for spawnve... yes no checking for spawnve... checking for spawnvpe... no no checking for strerror... checking for spawnvpe... yes checking for strsignal... no checking for strerror... yes checking for sysconf... yes checking for strsignal... yes checking for sysctl... yes checking for sysconf... no checking for sysmp... yes checking for sysctl... no checking for table... no checking for sysmp... no checking for times... no checking for table... yes checking for wait3... no checking for times... yes checking for wait4... yes checking for wait3... yes checking for sbrk... yes checking for wait4... yes checking whether basename is declared... yes checking for sbrk... yes checking whether ffs is declared... yes libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/libbacktrace -I ../../src/libbacktrace/../include -I ../../src/libbacktrace/../libgcc -I ../libgcc -Wdate-time -D_FORTIFY_SOURCE=2 -funwind-tables -frandom-seed=elf.lo -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -c ../../src/libbacktrace/elf.c -o elf.o >/dev/null 2>&1 checking whether basename is declared... yes checking whether asprintf is declared... yes checking whether ffs is declared... yes yes checking whether vasprintf is declared... checking whether asprintf is declared... yes yes checking whether snprintf is declared... checking whether vasprintf is declared... yes yes checking whether snprintf is declared... checking whether vsnprintf is declared... yes yes checking whether calloc is declared... checking whether vsnprintf is declared... yes yes checking whether calloc is declared... checking whether getenv is declared... yes yes checking whether getenv is declared... checking whether getopt is declared... yes yes checking whether malloc is declared... checking whether getopt is declared... yes yes checking whether realloc is declared... checking whether malloc is declared... yes yes checking whether sbrk is declared... checking whether realloc is declared... yes yes checking whether strtol is declared... checking whether sbrk is declared... yes yes checking whether strtoul is declared... checking whether strtol is declared... yes yes checking whether strtoll is declared... checking whether strtoul is declared... yes yes checking whether strtoll is declared... checking whether strtoull is declared... yes yes checking whether strtoull is declared... checking whether strverscmp is declared... yes yes checking whether strnlen is declared... checking whether strverscmp is declared... yes checking whether canonicalize_file_name must be declared... yes checking whether strnlen is declared... no checking for SHA1 HW acceleration support... yes checking whether canonicalize_file_name must be declared... no checking for SHA1 HW acceleration support... no checking for stdlib.h... (cached) yes checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes checking for getpagesize... (cached) yes checking for working mmap... no checking for stdlib.h... (cached) yes checking for unistd.h... (cached) yes checking for sys/param.h... (cached) yes checking for getpagesize... (cached) yes checking for working mmap... yes checking for working strncmp... yes checking for working strncmp... yes configure: updating cache ./config.cache yes configure: creating ./config.status configure: updating cache ./config.cache configure: creating ./config.status config.status: creating Makefile config.status: creating testsuite/Makefile config.status: creating Makefile config.status: creating config.h config.status: executing default commands config.status: creating testsuite/Makefile config.status: creating config.h config.status: executing default commands mkdir -p -- ./libcpp Configuring in ./libcpp make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty' if [ x"-fPIC" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-noasandir touch stamp-picdir echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libiberty' if [ x"" != x ] && [ ! -d pic ]; then \ mkdir pic; \ else true; fi touch stamp-picdir if [ x"" != x ] && [ ! -d noasan ]; then \ mkdir noasan; \ else true; fi touch stamp-noasandir if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dwarfnames.c -o dwarfnames.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/md5.c -o md5.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/dyn-string.c -o dyn-string.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/sha1.c -o sha1.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/alloca.c -o alloca.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/bsearch_r.c -o bsearch_r.o powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fibheap.c -o fibheap.o configure: creating cache ./config.cache checking build system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi powerpc64le-unknown-linux-gnu checking whether /usr/bin/make sets $(MAKE)... yes checking for a BSD-compatible install... /usr/bin/install -c checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/argv.c -o argv.o powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/choose-temp.c -o choose-temp.o checking whether the C compiler works... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi yes checking for C compiler default output file name... a.out checking for suffix of executables... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filedescriptor.c -o filedescriptor.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/filename_cmp.c -o filename_cmp.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi checking whether we are cross compiling... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/floatformat.c -o floatformat.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/concat.c -o concat.o no if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi checking for suffix of object files... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fnmatch.c -o fnmatch.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o o checking whether we are using the GNU C compiler... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/crc32.c -o crc32.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt.c -o getopt.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getopt1.c -o getopt1.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi none needed if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi /bin/bash ./libtool --tag=CC --mode=link powerpc64le-linux-gnu-gcc-14 -funwind-tables -frandom-seed=libbacktrace.la -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wcast-qual -g -O2 -static-libstdc++ -static-libgcc -o libbacktrace.la atomic.lo dwarf.lo fileline.lo posix.lo print.lo sort.lo state.lo backtrace.lo simple.lo elf.lo mmapio.lo mmap.lo powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getpwd.c -o getpwd.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cp-demint.c -o cp-demint.o powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/getruntime.c -o getruntime.o checking whether we are using the GNU C++ compiler... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hashtab.c -o hashtab.o libtool: link: powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc .libs/libbacktrace.a .libs/atomic.o .libs/dwarf.o .libs/fileline.o .libs/posix.o .libs/print.o .libs/sort.o .libs/state.o .libs/backtrace.o .libs/simple.o .libs/elf.o .libs/mmapio.o .libs/mmap.o libtool: link: powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so .libs/libbacktrace.a if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi yes checking whether powerpc64le-linux-gnu-g++-14 accepts -g... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/hex.c -o hex.o libtool: link: ( cd ".libs" && rm -f "libbacktrace.la" && ln -s "../libbacktrace.la" "libbacktrace.la" ) make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dwarfnames.c -o pic/dwarfnames.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dyn-string.c -o pic/dyn-string.o; \ else true; fi yes checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking how to run the C preprocessor... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lbasename.c -o lbasename.o powerpc64le-linux-gnu-gcc-14 -E if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/lrealpath.c -o lrealpath.o checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dyn-string.c -o noasan/dyn-string.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/dyn-string.c -o dyn-string.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/dwarfnames.c -o noasan/dwarfnames.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/dwarfnames.c -o dwarfnames.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/make-temp-file.c -o make-temp-file.o yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi checking for sys/types.h... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/objalloc.c -o objalloc.o yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/obstack.c -o obstack.o checking for sys/stat.h... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fdmatch.c -o pic/fdmatch.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fibheap.c -o pic/fibheap.o; \ else true; fi yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/partition.c -o partition.o checking for stdlib.h... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fdmatch.c -o noasan/fdmatch.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fdmatch.c -o fdmatch.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pexecute.c -o pexecute.o yes checking for string.h... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filedescriptor.c -o pic/filedescriptor.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filedescriptor.c -o noasan/filedescriptor.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/filedescriptor.c -o filedescriptor.o yes checking for memory.h... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/physmem.c -o physmem.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filename_cmp.c -o pic/filename_cmp.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fibheap.c -o noasan/fibheap.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fibheap.c -o fibheap.o powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-common.c -o pex-common.o yes checking for strings.h... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-one.c -o pex-one.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/filename_cmp.c -o noasan/filename_cmp.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/filename_cmp.c -o filename_cmp.o yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/pex-unix.c -o pex-unix.o checking for inttypes.h... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/d-demangle.c -o d-demangle.o yes if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/floatformat.c -o pic/floatformat.o; \ else true; fi checking for stdint.h... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fnmatch.c -o pic/fnmatch.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fnmatch.c -o noasan/fnmatch.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/vprintf-support.c -o vprintf-support.o yes powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fnmatch.c -o fnmatch.o checking for unistd.h... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fopen_unlocked.c -o pic/fopen_unlocked.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/rust-demangle.c -o rust-demangle.o yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi checking minix/config.h usability... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/fopen_unlocked.c -o noasan/fopen_unlocked.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/fopen_unlocked.c -o fopen_unlocked.o powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/safe-ctype.c -o safe-ctype.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object.c -o simple-object.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt.c -o pic/getopt.o; \ else true; fi no checking minix/config.h presence... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/floatformat.c -o noasan/floatformat.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/floatformat.c -o floatformat.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt.c -o noasan/getopt.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getopt.c -o getopt.o no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt1.c -o pic/getopt1.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getopt1.c -o noasan/getopt1.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getopt1.c -o getopt1.o yes checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getpwd.c -o pic/getpwd.o; \ else true; fi no checking for aclocal... aclocal checking for autoconf... autoconf checking for autoheader... autoheader checking whether powerpc64le-linux-gnu-gcc-14 supports -W... yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getpwd.c -o noasan/getpwd.o; \ else true; fi checking whether powerpc64le-linux-gnu-gcc-14 supports -Wall... powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getpwd.c -o getpwd.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getruntime.c -o pic/getruntime.o; \ else true; fi yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wnarrowing... yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-coff.c -o simple-object-coff.o checking whether powerpc64le-linux-gnu-gcc-14 supports -Wwrite-strings... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/getruntime.c -o noasan/getruntime.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/getruntime.c -o getruntime.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-elf.c -o simple-object-elf.o yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-format-attribute... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hashtab.c -o pic/hashtab.o; \ else true; fi yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wstrict-prototypes... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-prototypes... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wold-style-definition... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wc++-compat... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/cp-demangle.c -o cp-demangle.o yes checking whether powerpc64le-linux-gnu-gcc-14 supports -pedantic -Wlong-long... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hex.c -o pic/hex.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hex.c -o noasan/hex.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/hex.c -o hex.o powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o checking whether powerpc64le-linux-gnu-gcc-14 supports -fno-exceptions... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/regex.c -o regex.o yes if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lbasename.c -o pic/lbasename.o; \ else true; fi checking whether powerpc64le-linux-gnu-gcc-14 supports -fno-rtti... yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lbasename.c -o noasan/lbasename.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/lbasename.c -o lbasename.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/hashtab.c -o noasan/hashtab.o; \ else true; fi checking dependency style of powerpc64le-linux-gnu-g++-14... powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/hashtab.c -o hashtab.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lrealpath.c -o pic/lrealpath.o; \ else true; fi gcc3 checking whether time.h and sys/time.h may both be included... yes checking whether string.h and strings.h may both be included... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/lrealpath.c -o noasan/lrealpath.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/lrealpath.c -o lrealpath.o yes checking locale.h usability... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-relative-prefix.c -o pic/make-relative-prefix.o; \ else true; fi yes checking locale.h presence... yes checking for locale.h... yes checking fcntl.h usability... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-temp-file.c -o pic/make-temp-file.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sort.c -o sort.o yes checking fcntl.h presence... yes checking for fcntl.h... yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/spaces.c -o spaces.o checking limits.h usability... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/splay-tree.c -o splay-tree.o yes checking limits.h presence... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-temp-file.c -o noasan/make-temp-file.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/make-temp-file.c -o make-temp-file.o powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/stack-limit.c -o stack-limit.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/make-relative-prefix.c -o noasan/make-relative-prefix.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/make-relative-prefix.c -o make-relative-prefix.o yes checking for limits.h... yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strerror.c -o strerror.o checking stddef.h usability... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/strsignal.c -o strsignal.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/timeval-utils.c -o timeval-utils.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/objalloc.c -o pic/objalloc.o; \ else true; fi yes checking stddef.h presence... yes checking for stddef.h... yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi checking for stdlib.h... (cached) yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/obstack.c -o pic/obstack.o; \ else true; fi checking for strings.h... (cached) yes checking for string.h... (cached) yes checking sys/file.h usability... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/objalloc.c -o noasan/objalloc.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xasprintf.c -o xasprintf.o powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/objalloc.c -o objalloc.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xatexit.c -o xatexit.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xexit.c -o xexit.o yes checking sys/file.h presence... yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi checking for sys/file.h... yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmalloc.c -o xmalloc.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/partition.c -o pic/partition.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/obstack.c -o noasan/obstack.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/obstack.c -o obstack.o checking for unistd.h... (cached) yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi checking whether byte ordering is bigendian... powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xmemdup.c -o xmemdup.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pexecute.c -o pic/pexecute.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrdup.c -o xstrdup.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrerror.c -o xstrerror.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pexecute.c -o noasan/pexecute.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pexecute.c -o pexecute.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/physmem.c -o pic/physmem.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-common.c -o pic/pex-common.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xstrndup.c -o xstrndup.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/partition.c -o noasan/partition.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/partition.c -o partition.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-one.c -o pic/pex-one.o; \ else true; fi no checking for an ANSI C-conforming const... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/xvasprintf.c -o xvasprintf.o yes checking for inline... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/physmem.c -o noasan/physmem.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/physmem.c -o physmem.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-one.c -o noasan/pex-one.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-one.c -o pex-one.o inline checking for obstacks... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/setproctitle.c -o setproctitle.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-unix.c -o pic/pex-unix.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/vprintf-support.c -o pic/vprintf-support.o; \ else true; fi echo ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o > required-list make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libiberty/testsuite' yes checking for off_t... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o pic/regex.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o noasan/regex.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/regex.c -o regex.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/rust-demangle.c -o pic/rust-demangle.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-unix.c -o noasan/pex-unix.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-unix.c -o pex-unix.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/vprintf-support.c -o noasan/vprintf-support.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/vprintf-support.c -o vprintf-support.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/pex-common.c -o noasan/pex-common.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/pex-common.c -o pex-common.o yes checking for size_t... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/safe-ctype.c -o pic/safe-ctype.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object.c -o pic/simple-object.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/safe-ctype.c -o noasan/safe-ctype.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/safe-ctype.c -o safe-ctype.o yes checking for ssize_t... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-coff.c -o pic/simple-object-coff.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-elf.c -o pic/simple-object-elf.o; \ else true; fi yes checking for uintptr_t... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-coff.c -o noasan/simple-object-coff.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-coff.c -o simple-object-coff.o yes checking for ptrdiff_t... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object.c -o noasan/simple-object.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object.c -o simple-object.o yes checking for uint64_t... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-mach-o.c -o pic/simple-object-mach-o.o; \ else true; fi yes checking whether struct tm is in sys/time.h or time.h... time.h checking size of int... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/rust-demangle.c -o noasan/rust-demangle.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-xcoff.c -o pic/simple-object-xcoff.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/rust-demangle.c -o rust-demangle.o 4 checking size of long... 8 checking for clearerr_unlocked... yes checking for feof_unlocked... yes checking for ferror_unlocked... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-elf.c -o noasan/simple-object-elf.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-elf.c -o simple-object-elf.o yes checking for fflush_unlocked... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-xcoff.c -o noasan/simple-object-xcoff.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-xcoff.c -o simple-object-xcoff.o yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/simple-object-mach-o.c -o noasan/simple-object-mach-o.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/simple-object-mach-o.c -o simple-object-mach-o.o checking for fgetc_unlocked... yes checking for fgets_unlocked... yes checking for fileno_unlocked... yes if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sort.c -o pic/sort.o; \ else true; fi checking for fprintf_unlocked... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/sort.c -o noasan/sort.o; \ else true; fi no powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/sort.c -o sort.o checking for fputc_unlocked... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/spaces.c -o pic/spaces.o; \ else true; fi yes checking for fputs_unlocked... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/spaces.c -o noasan/spaces.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/spaces.c -o spaces.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/splay-tree.c -o pic/splay-tree.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/stack-limit.c -o pic/stack-limit.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strerror.c -o pic/strerror.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/stack-limit.c -o noasan/stack-limit.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/stack-limit.c -o stack-limit.o yes if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strsignal.c -o pic/strsignal.o; \ else true; fi checking for fread_unlocked... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/timeval-utils.c -o pic/timeval-utils.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/timeval-utils.c -o noasan/timeval-utils.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/timeval-utils.c -o timeval-utils.o yes checking for fwrite_unlocked... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/splay-tree.c -o noasan/splay-tree.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/splay-tree.c -o splay-tree.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/unlink-if-ordinary.c -o pic/unlink-if-ordinary.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strerror.c -o noasan/strerror.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/strerror.c -o strerror.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/strsignal.c -o noasan/strsignal.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/unlink-if-ordinary.c -o noasan/unlink-if-ordinary.o; \ else true; fi yes powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/strsignal.c -o strsignal.o powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/unlink-if-ordinary.c -o unlink-if-ordinary.o checking for getchar_unlocked... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xasprintf.c -o pic/xasprintf.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xatexit.c -o pic/xatexit.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xexit.c -o pic/xexit.o; \ else true; fi yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xasprintf.c -o noasan/xasprintf.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xasprintf.c -o xasprintf.o checking for getc_unlocked... if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmalloc.c -o pic/xmalloc.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xexit.c -o noasan/xexit.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xexit.c -o xexit.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xatexit.c -o noasan/xatexit.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xatexit.c -o xatexit.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmemdup.c -o pic/xmemdup.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o pic/cplus-dem.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o noasan/cplus-dem.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cplus-dem.c -o cplus-dem.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrdup.c -o pic/xstrdup.o; \ else true; fi yes if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrerror.c -o pic/xstrerror.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmalloc.c -o noasan/xmalloc.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xmalloc.c -o xmalloc.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o pic/cp-demangle.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o noasan/cp-demangle.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demangle.c -o cp-demangle.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xmemdup.c -o noasan/xmemdup.o; \ else true; fi checking for putchar_unlocked... powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xmemdup.c -o xmemdup.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrdup.c -o noasan/xstrdup.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrerror.c -o noasan/xstrerror.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrdup.c -o xstrdup.o powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrerror.c -o xstrerror.o if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrndup.c -o pic/xstrndup.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xvasprintf.c -o pic/xvasprintf.o; \ else true; fi if [ x"-fPIC" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/setproctitle.c -o pic/setproctitle.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o pic/md5.o; \ else true; fi yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o noasan/md5.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/md5.c -o md5.o checking for putc_unlocked... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xstrndup.c -o noasan/xstrndup.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xstrndup.c -o xstrndup.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/xvasprintf.c -o noasan/xvasprintf.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/xvasprintf.c -o xvasprintf.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE -fPIC ../../src/libiberty/setproctitle.c -o noasan/setproctitle.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../src/libiberty/setproctitle.c -o setproctitle.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o pic/sha1.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o noasan/sha1.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o pic/alloca.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/sha1.c -o sha1.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o noasan/alloca.o; \ else true; fi rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/alloca.c -o alloca.o powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o pic/argv.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o noasan/argv.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/argv.c -o argv.o yes powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ./libiberty.a if [ x"-fPIC" != x ]; then \ cd pic; \ powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ./libiberty.a; \ cd ..; \ else true; fi checking whether abort is declared... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/bsearch_r.c -o pic/bsearch_r.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/bsearch_r.c -o noasan/bsearch_r.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/bsearch_r.c -o bsearch_r.o make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty' if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o pic/choose-temp.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o noasan/choose-temp.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/choose-temp.c -o choose-temp.o yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o pic/concat.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o noasan/concat.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/concat.c -o concat.o checking whether asprintf is declared... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o pic/cp-demint.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o noasan/cp-demint.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/cp-demint.c -o cp-demint.o if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o pic/crc32.o; \ else true; fi if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o noasan/crc32.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/crc32.c -o crc32.o yes if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o pic/d-demangle.o; \ else true; fi checking whether basename is declared... if [ x"" != x ]; then \ powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o noasan/d-demangle.o; \ else true; fi powerpc64le-linux-gnu-gcc-14 -c -DHAVE_CONFIG_H -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I../../../src/libiberty/../include -W -Wall -Wwrite-strings -Wc++-compat -Wstrict-prototypes -Wshadow=local -pedantic -D_GNU_SOURCE ../../../src/libiberty/d-demangle.c -o d-demangle.o make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' yes powerpc64le-linux-gnu-gcc-14 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixincl.c /usr/bin/make all-am powerpc64le-linux-gnu-gcc-14 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixtests.c make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' /bin/bash ./libtool --tag=CC --tag=disable-static --mode=compile powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -DBASE_VERSION='"14"' -g -O2 -c -o lto-plugin.lo ../../src/lto-plugin/lto-plugin.c checking whether errno is declared... powerpc64le-linux-gnu-gcc-14 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixfixes.c libtool: compile: powerpc64le-linux-gnu-gcc-14 -DHAVE_CONFIG_H -I. -I../../src/lto-plugin -I../../src/lto-plugin/../include -DHAVE_CONFIG_H -Wdate-time -D_FORTIFY_SOURCE=2 -Wall -DBASE_VERSION=\"14\" -g -O2 -c ../../src/lto-plugin/lto-plugin.c -fPIC -DPIC -o .libs/lto-plugin.o no checking whether getopt is declared... powerpc64le-linux-gnu-gcc-14 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/server.c yes checking whether vasprintf is declared... powerpc64le-linux-gnu-gcc-14 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/procopen.c yes checking whether clearerr_unlocked is declared... powerpc64le-linux-gnu-gcc-14 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixlib.c powerpc64le-linux-gnu-gcc-14 -c -g -O2 -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../src/fixincludes -I../include -I../../src/fixincludes/../include ../../src/fixincludes/fixopts.c srcdir="../../src/fixincludes" /bin/bash ../../src/fixincludes/mkfixinc.sh powerpc64le-unknown-linux-gnu yes sed -e 's/@gcc_version@/14/' < mkheaders.almost > mkheadersT mv -f mkheadersT mkheaders checking whether feof_unlocked is declared... yes checking whether ferror_unlocked is declared... yes checking whether fflush_unlocked is declared... powerpc64le-linux-gnu-gcc-14 -g -O2 -static-libstdc++ -static-libgcc -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a yes echo timestamp > full-stamp checking whether fgetc_unlocked is declared... make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/fixincludes' yes checking whether fgets_unlocked is declared... /bin/bash ./libtool --tag=CC --tag=disable-static --mode=link powerpc64le-linux-gnu-gcc-14 -Wall -DBASE_VERSION='"14"' -g -O2 -Wc,-static-libgcc -pthread -module -avoid-version -bindir /usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14 -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o liblto_plugin.la -rpath /usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14 lto-plugin.lo -Wc,../libiberty/pic/libiberty.a yes checking whether fileno_unlocked is declared... libtool: link: powerpc64le-linux-gnu-gcc-14 -shared -fPIC -DPIC .libs/lto-plugin.o -static-libgcc -pthread -Wl,--version-script=../../src/lto-plugin/lto-plugin.map -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -pthread -Wl,-soname -Wl,liblto_plugin.so -o .libs/liblto_plugin.so yes checking whether fprintf_unlocked is declared... libtool: link: ( cd ".libs" && rm -f "liblto_plugin.la" && ln -s "../liblto_plugin.la" "liblto_plugin.la" ) mkdir -p -- ../gcc libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin/../gcc/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14' make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' no Configuring in ./gcc checking whether fputc_unlocked is declared... yes checking whether fputs_unlocked is declared... yes checking whether fread_unlocked is declared... yes checking whether fwrite_unlocked is declared... yes checking whether getchar_unlocked is declared... yes checking whether getc_unlocked is declared... configure: creating cache ./config.cache checking build system type... powerpc64le-unknown-linux-gnu checking host system type... yes powerpc64le-unknown-linux-gnu checking target system type... checking whether putchar_unlocked is declared... powerpc64le-unknown-linux-gnu checking LIBRARY_PATH variable... ok checking GCC_EXEC_PREFIX variable... ok checking whether to place generated files in the source directory... no checking whether a default linker was specified... no checking whether a default dsymutil was specified... no checking whether a default assembler was specified... no checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 checking whether the C compiler works... yes checking whether putc_unlocked is declared... yes checking for C compiler default output file name... a.out checking for suffix of executables... yes checking for working alloca.h... checking whether we are cross compiling... yes checking for alloca... no checking for suffix of object files... yes checking for ANSI C header files... (cached) yes checking for nl_langinfo and CODESET... o checking whether we are using the GNU C compiler... yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... yes checking whether NLS is requested... yes yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... checking for msgfmt... /usr/bin/msgfmt checking for gmsgfmt... /usr/bin/msgfmt checking for xgettext... /usr/bin/xgettext checking for msgmerge... /usr/bin/msgmerge checking for ld used by GCC... powerpc64le-linux-gnu-ld checking if the linker (powerpc64le-linux-gnu-ld) is GNU ld... none needed yes checking for shared library run path origin... done checking whether we are using the GNU C++ compiler... checking for CFPreferencesCopyAppValue... no checking for CFLocaleCopyPreferredLanguages... yes checking whether powerpc64le-linux-gnu-g++-14 accepts -g... no checking for GNU gettext in libc... yes checking for powerpc64le-linux-gnu-gnatbind... gnatbind checking for powerpc64le-linux-gnu-gnatmake... gnatmake -v -j8 -R -eS checking whether compiler driver understands Ada and is recent enough... yes checking for powerpc64le-linux-gnu-gdc... no checking whether the D compiler works... no checking how to run the C++ preprocessor... yes checking whether to use NLS... yes checking where the gettext function comes from... libc checking whether NLS is requested... yes checking for catalogs to be installed... powerpc64le-linux-gnu-g++-14 -E checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking for sys/types.h... yes checking for sys/stat.h... be ca da de el eo es fi fr id ja ka nl pt_BR ro ru sr sv tr uk vi zh_CN zh_TW be ca da de el eo es fi fr id ja ka nl pt_BR ro ru sr sv tr uk vi zh_CN zh_TW checking for uchar... checking size of ino_t... yes checking for stdlib.h... yes checking for string.h... 8 checking size of dev_t... yes checking for memory.h... yes 8 checking for iconv... checking for strings.h... yes checking for inttypes.h... yes checking for working iconv... yes rm -f ./libiberty.a pic/./libiberty.a noasan/./libiberty.a powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o checking for stdint.h... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ./libiberty.a if [ x"" != x ]; then \ cd pic; \ powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ./libiberty.a; \ cd ..; \ else true; fi; \ if [ x"" != x ]; then \ cd noasan; \ powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc ./libiberty.a \ ./regex.o ./cplus-dem.o ./cp-demangle.o ./md5.o ./sha1.o ./alloca.o ./argv.o ./bsearch_r.o ./choose-temp.o ./concat.o ./cp-demint.o ./crc32.o ./d-demangle.o ./dwarfnames.o ./dyn-string.o ./fdmatch.o ./fibheap.o ./filedescriptor.o ./filename_cmp.o ./floatformat.o ./fnmatch.o ./fopen_unlocked.o ./getopt.o ./getopt1.o ./getpwd.o ./getruntime.o ./hashtab.o ./hex.o ./lbasename.o ./lrealpath.o ./make-relative-prefix.o ./make-temp-file.o ./objalloc.o ./obstack.o ./partition.o ./pexecute.o ./physmem.o ./pex-common.o ./pex-one.o ./pex-unix.o ./vprintf-support.o ./rust-demangle.o ./safe-ctype.o ./simple-object.o ./simple-object-coff.o ./simple-object-elf.o ./simple-object-mach-o.o ./simple-object-xcoff.o ./sort.o ./spaces.o ./splay-tree.o ./stack-limit.o ./strerror.o ./strsignal.o ./timeval-utils.o ./unlink-if-ordinary.o ./xasprintf.o ./xatexit.o ./xexit.o ./xmalloc.o ./xmemdup.o ./xstrdup.o ./xstrerror.o ./xstrndup.o ./xvasprintf.o ./setproctitle.o; \ powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ./libiberty.a; \ cd ..; \ else true; fi make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libiberty' yes yes checking whether iconv is compatible with its POSIX signature... checking for unistd.h... make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libcpp' powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../../src/libcpp/charset.cc powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../../src/libcpp/directives.cc powerpc64le-linux-gnu-gcc-14 -c -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixincl.c powerpc64le-linux-gnu-gcc-14 -c -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixtests.c powerpc64le-linux-gnu-gcc-14 -c -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixfixes.c powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../../src/libcpp/errors.cc yes yes checking minix/config.h usability... checking for CET support... no no checking minix/config.h presence... powerpc64le-linux-gnu-gcc-14 -c -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/server.c configure: updating cache ./config.cache configure: creating ./config.status no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... yes checking how to run the C preprocessor... powerpc64le-linux-gnu-gcc-14 -E powerpc64le-linux-gnu-gcc-14 -c -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/procopen.c checking for inline... powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../../src/libcpp/expr.cc inline checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... config.status: creating Makefile config.status: creating config.h no checking size of ino_t... powerpc64le-linux-gnu-gcc-14 -c -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixlib.c config.status: executing depdir commands powerpc64le-linux-gnu-gcc-14 -c -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -W -Wall -Wwrite-strings -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -Wmissing-format-attribute -Wno-overlength-strings -pedantic -Wno-long-long -Wdate-time -D_FORTIFY_SOURCE=2 -DHAVE_CONFIG_H -I. -I../../../src/fixincludes -I../include -I../../../src/fixincludes/../include ../../../src/fixincludes/fixopts.c mkdir -p -- .deps config.status: executing default-1 commands 8 checking size of dev_t... srcdir="../../../src/fixincludes" /bin/bash ../../../src/fixincludes/mkfixinc.sh powerpc64le-unknown-linux-gnu sed -e 's/@gcc_version@/14/' < mkheaders.almost > mkheadersT make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcpp' powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o charset.o -MT charset.o -MMD -MP -MF .deps/charset.Tpo ../../src/libcpp/charset.cc powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o directives.o -MT directives.o -MMD -MP -MF .deps/directives.Tpo ../../src/libcpp/directives.cc mv -f mkheadersT mkheaders powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o errors.o -MT errors.o -MMD -MP -MF .deps/errors.Tpo ../../src/libcpp/errors.cc powerpc64le-linux-gnu-gcc-14 -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -Wl,-z,relro -Wl,-z,now -o fixincl fixincl.o fixtests.o fixfixes.o server.o procopen.o fixlib.o fixopts.o ../libiberty/libiberty.a 8 checking size of void *... echo timestamp > full-stamp make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/fixincludes' powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../../src/libcpp/files.cc 8 checking size of short... 2 checking size of int... powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o expr.o -MT expr.o -MMD -MP -MF .deps/expr.Tpo ../../src/libcpp/expr.cc 4 checking size of long... 8 checking for long long... yes checking size of long long... 8 checking for int8_t... powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../../src/libcpp/identifiers.cc yes checking for int16_t... echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new ../../../src/libcpp/../move-if-change localedir.new localedir.h echo timestamp > localedir.hs powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../../src/libcpp/lex.cc powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../../src/libcpp/line-map.cc powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../../src/libcpp/macro.cc yes checking for int32_t... yes checking for int64_t... powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o files.o -MT files.o -MMD -MP -MF .deps/files.Tpo ../../src/libcpp/files.cc yes checking for unsigned long long int... yes checking for long long int... yes checking for intmax_t... yes checking for intptr_t... yes checking for uint8_t... yes checking for uint16_t... yes checking for uint32_t... powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o identifiers.o -MT identifiers.o -MMD -MP -MF .deps/identifiers.Tpo ../../src/libcpp/identifiers.cc yes checking for uint64_t... yes checking for uintmax_t... yes checking for uintptr_t... echo "#define LOCALEDIR \"/usr/lib/ghdl/gcc/share/locale\"" > localedir.new ../../src/libcpp/../move-if-change localedir.new localedir.h powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../../src/libcpp/mkdeps.cc echo timestamp > localedir.hs powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o lex.o -MT lex.o -MMD -MP -MF .deps/lex.Tpo ../../src/libcpp/lex.cc powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o line-map.o -MT line-map.o -MMD -MP -MF .deps/line-map.Tpo ../../src/libcpp/line-map.cc yes checking for int64_t underlying type... powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../../src/libcpp/pch.cc long checking for std::swap in ... yes checking whether powerpc64le-linux-gnu-g++-14 is affected by placement new aliasing bug... no checking whether powerpc64le-linux-gnu-g++-14 supports -W... yes checking whether powerpc64le-linux-gnu-g++-14 supports -Wall... yes checking whether powerpc64le-linux-gnu-g++-14 supports -Wnarrowing... yes checking whether powerpc64le-linux-gnu-g++-14 supports -Wwrite-strings... yes checking whether powerpc64le-linux-gnu-g++-14 supports -Wcast-qual... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wstrict-prototypes... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wmissing-prototypes... yes checking whether powerpc64le-linux-gnu-g++-14 supports -Wmissing-format-attribute... yes checking whether powerpc64le-linux-gnu-g++-14 supports -Wconditionally-supported... powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../../src/libcpp/symtab.cc yes checking whether powerpc64le-linux-gnu-g++-14 supports -Woverloaded-virtual... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wold-style-definition... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wc++-compat... powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../../src/libcpp/traditional.cc yes checking whether powerpc64le-linux-gnu-g++-14 supports -pedantic -Wlong-long -Wvariadic-macros -Woverlength-strings... powerpc64le-linux-gnu-g++-14 -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../../src/libcpp -I. -I../../../src/libcpp/../include -I../../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../../src/libcpp/init.cc yes checking whether powerpc64le-linux-gnu-gcc-14 supports -fno-exceptions... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -fno-rtti... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -fasynchronous-unwind-tables... yes checking valgrind/memcheck.h usability... powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o macro.o -MT macro.o -MMD -MP -MF .deps/macro.Tpo ../../src/libcpp/macro.cc no checking valgrind/memcheck.h presence... no checking for valgrind/memcheck.h... no checking for multiarch configuration... yes configure: WARNING: fixed-point is not supported for this target, ignored checking whether /usr/bin/make sets $(MAKE)... yes checking for gawk... gawk checking whether ln -s works... yes checking whether ln works... yes checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for a BSD compatible install... /usr/bin/install -c checking for cmp's capabilities... gnucompare checking for mktemp... yes checking for makeinfo... makeinfo --split-size=5000000 checking for modern makeinfo... powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o mkdeps.o -MT mkdeps.o -MMD -MP -MF .deps/mkdeps.Tpo ../../src/libcpp/mkdeps.cc yes checking for CONTENTS_OUTPUT_LOCATION support in makeinfo --split-size=5000000... yes checking for recent Pod::Man... yes checking for flex... /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/missing flex checking for bison... /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/missing bison checking for python3... python3 checking for modern python3... yes checking for nm... powerpc64le-linux-gnu-nm checking for ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for sphinx-build... texinfo checking for ANSI C header files... (cached) yes checking whether time.h and sys/time.h may both be included... yes checking whether string.h and strings.h may both be included... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking whether termios.h defines TIOCGWINSZ... no checking whether sys/ioctl.h defines TIOCGWINSZ... yes checking for limits.h... yes checking for stddef.h... yes checking for string.h... (cached) yes powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o pch.o -MT pch.o -MMD -MP -MF .deps/pch.Tpo ../../src/libcpp/pch.cc checking for strings.h... (cached) yes checking for stdlib.h... (cached) yes powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o symtab.o -MT symtab.o -MMD -MP -MF .deps/symtab.Tpo ../../src/libcpp/symtab.cc checking for time.h... yes checking for iconv.h... yes checking for fcntl.h... powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o traditional.o -MT traditional.o -MMD -MP -MF .deps/traditional.Tpo ../../src/libcpp/traditional.cc yes checking for ftw.h... yes checking for unistd.h... (cached) yes checking for sys/auxv.h... yes checking for sys/file.h... /bin/bash ../../src/libcpp/../mkinstalldirs po yes mkdir -p -- po /usr/bin/msgfmt --statistics -o po/sr.gmo ../../src/libcpp/po/sr.po checking for sys/time.h... 274 translated messages. /bin/bash ../../src/libcpp/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/zh_CN.gmo ../../src/libcpp/po/zh_CN.po yes 274 translated messages. powerpc64le-linux-gnu-g++-14 -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -g -O2 -W -Wall -Wno-narrowing -Wwrite-strings -Wmissing-format-attribute -pedantic -Wno-long-long -fno-exceptions -fno-rtti -I../../src/libcpp -I. -I../../src/libcpp/../include -I../../src/libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -DPACKAGE_SUFFIX=\"-14\" -c -o init.o -MT init.o -MMD -MP -MF .deps/init.Tpo ../../src/libcpp/init.cc checking for sys/mman.h... rm -f libcpp.a powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o powerpc64le-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') yes powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libcpp.a checking for sys/resource.h... make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libcpp' yes checking for sys/param.h... yes checking for sys/times.h... yes checking for sys/stat.h... (cached) yes checking for sys/locking.h... no checking for direct.h... no checking for malloc.h... yes checking for langinfo.h... yes checking for ldfcn.h... no checking for locale.h... yes checking for wchar.h... yes checking for thread.h... no checking for pthread.h... yes checking for CHAR_BIT... yes checking whether byte ordering is bigendian... no checking how to run the C++ preprocessor... powerpc64le-linux-gnu-g++-14 -E checking for unordered_map... yes checking for tr1/unordered_map... yes checking for ext/hash_map... yes checking dependency style of powerpc64le-linux-gnu-g++-14... gcc3 checking for collect2 libraries... rm -f libcpp.a powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so cru libcpp.a charset.o directives.o errors.o expr.o files.o identifiers.o init.o lex.o line-map.o macro.o mkdeps.o pch.o symtab.o traditional.o powerpc64le-linux-gnu-ar: `u' modifier ignored since `D' is the default (see `U') powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libcpp.a make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcpp' none required checking for library containing exc_resume... no checking for library containing kstat_open... no checking for library containing gethostbyname... none required checking for library containing socket... none required checking for library containing ldexp... none required checking for library containing dlopen... none required checking for inttypes.h... yes checking for library containing ZSTD_compress... no checking for zstd.h... (cached) no checking for times... yes checking for clock... yes checking for kill... yes checking for getrlimit... yes checking for setrlimit... yes checking for atoq... no checking for popen... yes checking for sysconf... yes checking for strsignal... yes checking for getrusage... yes checking for nl_langinfo... yes checking for gettimeofday... yes checking for mbstowcs... yes checking for wcswidth... yes checking for mmap... yes checking for posix_fallocate... yes checking for setlocale... yes checking for clearerr_unlocked... yes checking for feof_unlocked... yes checking for ferror_unlocked... yes checking for fflush_unlocked... yes checking for fgetc_unlocked... yes checking for fgets_unlocked... yes checking for fileno_unlocked... yes checking for fprintf_unlocked... no checking for fputc_unlocked... yes checking for fputs_unlocked... yes checking for fread_unlocked... yes checking for fwrite_unlocked... yes checking for getchar_unlocked... yes checking for getc_unlocked... yes checking for putchar_unlocked... yes checking for putc_unlocked... yes checking for madvise... yes checking for mallinfo... yes checking for mallinfo2... yes checking for fstatat... yes checking for getauxval... yes checking whether mbstowcs works... yes checking for ssize_t... yes checking for caddr_t... yes checking for sighander_t... no checking for sys/mman.h... (cached) yes checking for mmap... (cached) yes checking whether read-only mmap of a plain file works... yes checking whether mmap from /dev/zero works... yes checking for MAP_ANON(YMOUS)... yes checking whether mmap with MAP_ANON(YMOUS) works... yes checking for pid_t... yes checking for vfork.h... no checking for fork... yes checking for vfork... yes checking for working fork... yes checking for working vfork... (cached) yes checking for ld used by GCC... powerpc64le-linux-gnu-ld checking if the linker (powerpc64le-linux-gnu-ld) is GNU ld... yes checking for shared library run path origin... done checking for iconv... yes checking for working iconv... yes checking whether iconv is compatible with its POSIX signature... yes checking for LC_MESSAGES... yes checking for nl_langinfo and CODESET... yes checking whether basename is declared... yes checking whether strstr is declared... yes checking whether getenv is declared... yes checking whether atol is declared... yes checking whether atoll is declared... yes checking whether asprintf is declared... yes checking whether sbrk is declared... yes checking whether abort is declared... yes checking whether atof is declared... yes checking whether getcwd is declared... yes checking whether getwd is declared... yes checking whether madvise is declared... yes checking whether stpcpy is declared... yes checking whether strnlen is declared... yes checking whether strsignal is declared... yes checking whether strverscmp is declared... yes checking whether strtol is declared... yes checking whether strtoul is declared... yes checking whether strtoll is declared... yes checking whether strtoull is declared... yes checking whether setenv is declared... yes checking whether unsetenv is declared... yes checking whether errno is declared... yes checking whether snprintf is declared... yes checking whether vsnprintf is declared... yes checking whether vasprintf is declared... yes checking whether malloc is declared... yes checking whether realloc is declared... yes checking whether calloc is declared... yes checking whether free is declared... yes checking whether getopt is declared... yes checking whether clock is declared... yes checking whether getpagesize is declared... yes checking whether ffs is declared... yes checking whether clearerr_unlocked is declared... yes checking whether feof_unlocked is declared... yes checking whether ferror_unlocked is declared... yes checking whether fflush_unlocked is declared... yes checking whether fgetc_unlocked is declared... yes checking whether fgets_unlocked is declared... yes checking whether fileno_unlocked is declared... yes checking whether fprintf_unlocked is declared... no checking whether fputc_unlocked is declared... yes checking whether fputs_unlocked is declared... yes checking whether fread_unlocked is declared... yes checking whether fwrite_unlocked is declared... yes checking whether getchar_unlocked is declared... yes checking whether getc_unlocked is declared... yes checking whether putchar_unlocked is declared... yes checking whether putc_unlocked is declared... yes checking whether getrlimit is declared... yes checking whether setrlimit is declared... yes checking whether getrusage is declared... yes checking whether mallinfo is declared... yes checking whether mallinfo2 is declared... yes checking whether ldgetname is declared... no checking whether times is declared... yes checking whether sigaltstack is declared... yes checking for struct tms... yes checking for clock_t... yes checking for F_SETLKW... yes checking for O_CLOEXEC... yes checking for fcntl.h... (cached) yes checking whether O_NONBLOCK is declared... yes checking for AF_UNIX... yes checking for AF_INET6... yes checking for _LK_LOCK... no checking if mkdir takes one argument... no Using `../../src/gcc/config/rs6000/rs6000.cc' for machine-specific logic. Using `../../src/gcc/config/rs6000/rs6000.md' as machine description file. Using the following target machine macro files: ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/config/rs6000/secureplt.h ../../src/gcc/config/rs6000/biarch64.h ../../src/gcc/config/rs6000/rs6000.h ../../src/gcc/config/elfos.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/linux.h ../../src/gcc/config/freebsd-spec.h ../../src/gcc/config/rs6000/sysv4.h ../../src/gcc/config/rs6000/sysv4le.h ../../src/gcc/config/rs6000/default64.h ../../src/gcc/config/rs6000/linux64.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/rs6000/option-defaults.h ../../src/gcc/config/initfini-array.h Using host-linux.o for host machine hooks. checking for __cxa_atexit... yes checking for a BSD-compatible install... /usr/bin/install -c checking whether NLS is requested... yes checking for msgfmt... /usr/bin/msgfmt checking for gmsgfmt... /usr/bin/msgfmt checking for xgettext... /usr/bin/xgettext checking for msgmerge... /usr/bin/msgmerge checking for CFPreferencesCopyAppValue... no checking for CFLocaleCopyPreferredLanguages... no checking for GNU gettext in libc... yes checking whether to use NLS... yes checking where the gettext function comes from... libc checking whether NLS is requested... yes checking for catalogs to be installed... be da de el es fi fr hr id ja nl ru sr sv tr uk vi zh_CN zh_TW be da de el es fi fr hr id ja ka nl ru sr sv tr uk vi zh_CN zh_TW checking how to print strings... printf checking for a sed that does not truncate output... /usr/bin/sed checking for fgrep... /usr/bin/grep -F checking for ld used by powerpc64le-linux-gnu-gcc-14... powerpc64le-linux-gnu-ld checking if the linker (powerpc64le-linux-gnu-ld) is GNU ld... yes checking for BSD- or MS-compatible name lister (nm)... /usr/bin/powerpc64le-linux-gnu-nm -B checking the name lister (/usr/bin/powerpc64le-linux-gnu-nm -B) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for powerpc64le-linux-gnu-ld option to reload object files... -r checking for powerpc64le-linux-gnu-objdump... powerpc64le-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for powerpc64le-linux-gnu-ar... (cached) powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for powerpc64le-linux-gnu-strip... powerpc64le-linux-gnu-strip checking for powerpc64le-linux-gnu-ranlib... (cached) powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking command to parse /usr/bin/powerpc64le-linux-gnu-nm -B output from powerpc64le-linux-gnu-gcc-14 object... ok checking for dlfcn.h... yes checking for objdir... .libs checking if powerpc64le-linux-gnu-gcc-14 supports -fno-rtti -fno-exceptions... no checking for powerpc64le-linux-gnu-gcc-14 option to produce PIC... -fPIC -DPIC checking if powerpc64le-linux-gnu-gcc-14 PIC flag -fPIC -DPIC works... yes checking if powerpc64le-linux-gnu-gcc-14 static flag -static works... yes checking if powerpc64le-linux-gnu-gcc-14 supports -c -o file.o... yes checking if powerpc64le-linux-gnu-gcc-14 supports -c -o file.o... (cached) yes checking whether the powerpc64le-linux-gnu-gcc-14 linker (powerpc64le-linux-gnu-ld) supports shared libraries... yes checking whether -lc should be explicitly linked in... no checking dynamic linker characteristics... GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... yes checking how to run the C++ preprocessor... powerpc64le-linux-gnu-g++-14 -E checking for ld used by powerpc64le-linux-gnu-g++-14... powerpc64le-linux-gnu-ld checking if the linker (powerpc64le-linux-gnu-ld) is GNU ld... yes checking whether the powerpc64le-linux-gnu-g++-14 linker (powerpc64le-linux-gnu-ld) supports shared libraries... yes checking for powerpc64le-linux-gnu-g++-14 option to produce PIC... -fPIC -DPIC checking if powerpc64le-linux-gnu-g++-14 PIC flag -fPIC -DPIC works... yes checking if powerpc64le-linux-gnu-g++-14 static flag -static works... yes checking if powerpc64le-linux-gnu-g++-14 supports -c -o file.o... yes checking if powerpc64le-linux-gnu-g++-14 supports -c -o file.o... (cached) yes checking whether the powerpc64le-linux-gnu-g++-14 linker (powerpc64le-linux-gnu-ld) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for powerpc64le-linux-gnu-as... /usr/bin/powerpc64le-linux-gnu-as checking for powerpc64le-linux-gnu-ld... /usr/bin/powerpc64le-linux-gnu-ld checking whether we are using gold... no checking whether we are using mold... no checking gold linker with split stack support as non default... checking what linker to use... /usr/bin/powerpc64le-linux-gnu-ld checking for powerpc64le-linux-gnu-nm... /usr/bin/powerpc64le-linux-gnu-nm checking what nm to use... /usr/bin/powerpc64le-linux-gnu-nm checking for powerpc64le-linux-gnu-objdump... /usr/bin/powerpc64le-linux-gnu-objdump checking what objdump to use... /usr/bin/powerpc64le-linux-gnu-objdump checking for powerpc64le-linux-gnu-readelf... /usr/bin/powerpc64le-linux-gnu-readelf checking what readelf to use... /usr/bin/powerpc64le-linux-gnu-readelf checking for otool... no checking what otool to use... not found checking for dsymutil... /usr/bin/dsymutil checking assembler flags... checking assembler for .balign and .p2align... yes checking assembler for .p2align with maximum skip... yes checking assembler for .literal16... no checking assembler for working .subsection -1... yes checking assembler for .weak... yes checking assembler for .weakref... yes checking assembler for .nsubspa comdat... no checking assembler for .hidden... yes checking linker for .hidden support... yes checking linker read-only and read-write section mixing... read-write checking for .preinit_array/.init_array/.fini_array support... (cached) yes checking assembler for .sleb128 and .uleb128... yes checking assembler for cfi directives... yes checking assembler for working cfi advance... yes checking assembler for cfi personality directive... yes checking assembler for cfi sections directive... yes checking assembler for eh_frame optimization... yes checking assembler for section exclude flag... yes checking assembler for section 'R' flag... yes checking assembler for section 'o' flag... yes checking assembler for section merging support... yes checking assembler for COMDAT group support (GNU as)... yes checking assembler for line table is_stmt support... yes checking assembler for line table discriminator support... yes checking assembler for thread-local storage support... yes checking linker -Bstatic/-Bdynamic option... yes checking linker --version-script option... yes checking linker soname option... yes checking linker --demangle support... yes checking linker plugin support... 2 checking assembler for mfcr field support... yes checking assembler for htm support on Power10... yes checking assembler for rel16 relocs... yes checking assembler for vector-scalar support... yes checking assembler for .gnu_attribute support... yes checking assembler for prologue entry point marker support... yes checking assembler for plt sequence marker support... yes checking assembler for dwarf2 debug_line support... yes checking assembler for buggy dwarf2 .file directive... no checking assembler for dwarf2 debug_view support... yes checking assembler for --gdwarf2 option... yes checking assembler for --gdwarf-5 option... yes checking assembler for assembly of compiler generated 64-bit .debug_line... yes checking assembler for --gdwarf-4 not refusing compiler generated .debug_line... yes checking assembler for --gdwarf-4 with the APP marker... no checking assembler for --debug-prefix-map option... yes checking assembler for compressed debug sections... 2 checking assembler for .lcomm with alignment... yes checking for target glibc version... 2.41 checking assembler for tolerance to line number 0... yes checking support for thin archives... yes checking linker PT_GNU_EH_FRAME support... yes checking linker CIEv3 in .eh_frame support... yes checking linker position independent executable support... yes checking linker PIE support with copy reloc... no checking linker EH-compatible garbage collection of sections... yes checking linker EH garbage collection of sections bug... no checking linker for compressed debug sections... 2 checking linker --as-needed support... yes checking linker mapfile support for clearing hardware capabilities... no checking linker .gnu.attributes long double support... yes checking linker support for omitting dot symbols... yes checking linker large toc support... yes checking linker toc pointer alignment... 256 checking linker --build-id support... yes checking linker *_sol2 emulation support... no checking linker --sysroot support... yes checking __stack_chk_fail in target C library... yes checking sys/sdt.h in the target C library... no checking dl_iterate_phdr in target C library... unknown checking whether to enable maintainer-specific portions of Makefiles... no checking whether to avoid linking multiple front-ends at once... no checking whether to serialize linking of multiple front-ends... 4 Links are now set up to build a native compiler for powerpc64le-unknown-linux-gnu. checking for exported symbols... yes checking for -rdynamic... yes checking for library containing dlopen... (cached) none required checking for -fPIC -shared... yes gcc_driver_version: 14 checking for -fno-PIE option... yes checking for -no-pie option... yes checking for CET support... no checking linker -z bndplt option... no checking linker --push-state/--pop-state options... yes checking linker -z now option... yes checking linker -z relro option... yes yes configure: updating cache ./config.cache configure: creating ./config.status config.status: creating as config.status: creating collect-ld config.status: creating nm config.status: creating dsymutil config.status: creating Makefile config.status: creating ada/gcc-interface/Makefile config.status: creating ada/Makefile config.status: creating m2/config-make config.status: creating m2/Make-maintainer config.status: creating auto-host.h config.status: executing depdir commands mkdir -p -- .deps config.status: executing gccdepdir commands mkdir -p -- build/.deps mkdir -p -- ada/.deps mkdir -p -- c/.deps mkdir -p -- cp/.deps mkdir -p -- d/.deps mkdir -p -- fortran/.deps mkdir -p -- go/.deps mkdir -p -- jit/.deps mkdir -p -- lto/.deps mkdir -p -- m2/.deps mkdir -p -- objc/.deps mkdir -p -- objcp/.deps mkdir -p -- rust/.deps mkdir -p -- vhdl/.deps mkdir -p -- c-family/.deps mkdir -p -- common/.deps mkdir -p -- analyzer/.deps mkdir -p -- text-art/.deps mkdir -p -- rtl-ssa/.deps config.status: executing default-1 commands config.status: executing default commands mkdir -p -- ./libcc1 Configuring in ./libcc1 make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc' /bin/bash ../../src/gcc/../mkinstalldirs po /bin/bash ../../src/gcc/../mkinstalldirs po /bin/bash ../../src/gcc/../mkinstalldirs po /bin/bash ../../src/gcc/../mkinstalldirs po /bin/bash ../../src/gcc/../mkinstalldirs po mkdir -p -- po mkdir -p -- po /usr/bin/msgfmt --statistics -o po/da.gmo ../../src/gcc/po/da.po mkdir -p -- po /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/de.gmo ../../src/gcc/po/de.po /usr/bin/msgfmt --statistics -o po/el.gmo ../../src/gcc/po/el.po /usr/bin/msgfmt --statistics -o po/be.gmo ../../src/gcc/po/be.po /usr/bin/msgfmt --statistics -o po/es.gmo ../../src/gcc/po/es.po /usr/bin/msgfmt --statistics -o po/fi.gmo ../../src/gcc/po/fi.po /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/fr.gmo ../../src/gcc/po/fr.po configure: creating cache ./config.cache checking build system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking target system type... powerpc64le-unknown-linux-gnu checking for powerpc64le-linux-gnu-gcc... powerpc64le-linux-gnu-gcc-14 1649 translated messages, 8437 fuzzy translations, 6363 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/hr.gmo ../../src/gcc/po/hr.po checking whether the C compiler works... 59 translated messages, 2646 fuzzy translations, 13744 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/id.gmo ../../src/gcc/po/id.po yes checking for C compiler default output file name... a.out checking for suffix of executables... 2191 translated messages, 10675 fuzzy translations, 3583 untranslated messages. 40 translated messages, 4946 fuzzy translations, 11463 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/ja.gmo ../../src/gcc/po/ja.po /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/nl.gmo ../../src/gcc/po/nl.po checking whether we are cross compiling... 1082 translated messages, 15367 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/ru.gmo ../../src/gcc/po/ru.po 16449 translated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/sr.gmo ../../src/gcc/po/sr.po no checking for suffix of object files... 16449 translated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/sv.gmo ../../src/gcc/po/sv.po o checking whether we are using the GNU C compiler... yes checking whether powerpc64le-linux-gnu-gcc-14 accepts -g... 8296 translated messages, 6157 fuzzy translations, 1996 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/tr.gmo ../../src/gcc/po/tr.po yes checking for powerpc64le-linux-gnu-gcc-14 option to accept ISO C89... none needed checking whether powerpc64le-linux-gnu-gcc-14 understands -c and -o together... 733 translated messages, 7425 fuzzy translations, 8291 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/uk.gmo ../../src/gcc/po/uk.po 2755 translated messages, 8524 fuzzy translations, 5170 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po 2178 translated messages, 7389 fuzzy translations, 6882 untranslated messages. /usr/bin/msgfmt --statistics -o po/vi.gmo ../../src/gcc/po/vi.po /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/zh_CN.gmo ../../src/gcc/po/zh_CN.po yes checking how to run the C preprocessor... powerpc64le-linux-gnu-gcc-14 -E 2357 translated messages, 8176 fuzzy translations, 5916 untranslated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/zh_TW.gmo ../../src/gcc/po/zh_TW.po 16449 translated messages. /bin/bash ../../src/gcc/../mkinstalldirs po /usr/bin/msgfmt --statistics -o po/ka.gmo ../../src/gcc/po/ka.po 9746 translated messages, 4168 fuzzy translations, 2535 untranslated messages. TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh config.h checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... TARGET_CPU_DEFAULT="" \ HEADERS="options.h insn-constants.h config/vxworks-dummy.h config/rs6000/secureplt.h config/rs6000/biarch64.h config/rs6000/rs6000.h config/elfos.h config/gnu-user.h config/linux.h config/freebsd-spec.h config/rs6000/sysv4.h config/rs6000/sysv4le.h config/rs6000/default64.h config/rs6000/linux64.h config/glibc-stdint.h config/rs6000/option-defaults.h config/initfini-array.h defaults.h" DEFINES="LIBC_GLIBC=1 LIBC_UCLIBC=2 LIBC_BIONIC=3 LIBC_MUSL=4 DEFAULT_LIBC=LIBC_GLIBC ANDROID_DEFAULT=0 HEAP_TRAMPOLINES_INIT=0" \ /bin/bash ../../src/gcc/mkconfig.sh tm.h TARGET_CPU_DEFAULT="" \ HEADERS="config/rs6000/rs6000-protos.h config/linux-protos.h tm-preds.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh tm_p.h 2204 translated messages, 8789 fuzzy translations, 5456 untranslated messages. TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh bconfig.h powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/rs6000-gen-builtins.o ../../src/gcc/config/rs6000/rs6000-gen-builtins.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/rbtree.o ../../src/gcc/config/rs6000/rbtree.cc yes checking for sys/types.h... 16449 translated messages. LC_ALL=C ; export LC_ALL ; \ gawk -f ../../src/gcc/opt-gather.awk ../../src/gcc/ada/gcc-interface/lang.opt ../../src/gcc/d/lang.opt ../../src/gcc/fortran/lang.opt ../../src/gcc/go/lang.opt ../../src/gcc/lto/lang.opt ../../src/gcc/m2/lang.opt ../../src/gcc/rust/lang.opt ../../src/gcc/vhdl/lang.opt ../../src/gcc/c-family/c.opt ../../src/gcc/common.opt ../../src/gcc/params.opt ../../src/gcc/analyzer/analyzer.opt ../../src/gcc/config/g.opt ../../src/gcc/config/fused-madd.opt ../../src/gcc/config/rs6000/rs6000-tables.opt ../../src/gcc/config/rs6000/rs6000.opt ../../src/gcc/config/gnu-user.opt ../../src/gcc/config/linux.opt ../../src/gcc/config/rs6000/sysv4.opt ../../src/gcc/config/rs6000/linux64.opt ../../src/gcc/ada/gcc-interface/lang.opt.urls ../../src/gcc/d/lang.opt.urls ../../src/gcc/fortran/lang.opt.urls ../../src/gcc/go/lang.opt.urls ../../src/gcc/lto/lang.opt.urls ../../src/gcc/m2/lang.opt.urls ../../src/gcc/rust/lang.opt.urls ../../src/gcc/vhdl/lang.opt.urls ../../src/gcc/c-family/c.opt.urls ../../src/gcc/common.opt.urls ../../src/gcc/params.opt.urls ../../src/gcc/analyzer/analyzer.opt.urls ../../src/gcc/config/g.opt.urls ../../src/gcc/config/fused-madd.opt.urls ../../src/gcc/config/rs6000/rs6000-tables.opt.urls ../../src/gcc/config/rs6000/rs6000.opt.urls ../../src/gcc/config/gnu-user.opt.urls ../../src/gcc/config/linux.opt.urls ../../src/gcc/config/rs6000/sysv4.opt.urls ../../src/gcc/config/rs6000/linux64.opt.urls > tmp-optionlist 4094 translated messages, 3516 fuzzy translations, 8839 untranslated messages. 58 translated messages, 16391 untranslated messages. 4926 translated messages, 8643 fuzzy translations, 2880 untranslated messages. yes checking for sys/stat.h... 2162 translated messages, 10994 fuzzy translations, 3293 untranslated messages. yes echo "#define BUILDING_GCC_MAJOR `echo 14.2.0 | sed -e 's/^\([0-9]*\).*$/\1/'`" > bversion.h /bin/bash ../../src/gcc/../move-if-change tmp-gi.list gtyp-input.list rm -f tmp-all-tree.def echo "#define BUILDING_GCC_MINOR `echo 14.2.0 | sed -e 's/^[0-9]*\.\([0-9]*\).*$/\1/'`" >> bversion.h echo '#include "tree.def"' > tmp-all-tree.def echo 'END_OF_BASE_TREE_CODES' >> tmp-all-tree.def checking for stdlib.h... echo '#include "c-family/c-common.def"' >> tmp-all-tree.def TARGET_CPU_DEFAULT="" \ HEADERS="config/rs6000/rs6000-d.h" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh tm_d.h echo "#define BUILDING_GCC_PATCHLEVEL `echo 14.2.0 | sed -e 's/^[0-9]*\.[0-9]*\.\([0-9]*\)$/\1/'`" >> bversion.h ltf="../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/c/c-tree.def ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def"; for f in $ltf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' >> tmp-all-tree.def echo timestamp > s-gtyp-input /bin/bash ../../src/gcc/../move-if-change tmp-all-tree.def all-tree.def if test no = yes \ || test -n "m64=../lib:powerpc64le-linux-gnu m32=../lib32:powerpcle-linux-gnu"; then \ /bin/bash ../../src/gcc/genmultilib \ "m64/m32" \ "64 32" \ "" \ "" \ "" \ "" \ "m64=../lib:powerpc64le-linux-gnu m32=../lib32:powerpcle-linux-gnu" \ "" \ "" \ "" \ "no" \ > tmp-mlib.h; \ else \ /bin/bash ../../src/gcc/genmultilib '' '' '' '' '' '' '' '' \ "powerpc64-linux-gnu" '' no \ > tmp-mlib.h; \ fi echo "#define BUILDING_GCC_VERSION (BUILDING_GCC_MAJOR * 1000 + BUILDING_GCC_MINOR)" >> bversion.h echo timestamp > s-bversion lsf="../../src/gcc/lto/lang-specs.h ../../src/gcc/vhdl/lang-specs.h "; for f in $lsf; do \ echo "#include \"$f\""; \ done | sed 's|../../src/gcc/||' > tmp-specs.h yes echo timestamp > s-alltree /bin/bash ../../src/gcc/../move-if-change tmp-specs.h specs.h gawk -f ../../src/gcc/gen-pass-instances.awk \ ../../src/gcc/passes.def ../../src/gcc/config/rs6000/rs6000-passes.def > pass-instances.def TARGET_CPU_DEFAULT="" \ HEADERS="" DEFINES="" \ /bin/bash ../../src/gcc/mkconfig.sh tm_rust.h echo timestamp > s-specs /bin/bash ../../src/gcc/config/nvptx/gen-omp-device-properties.sh \ "../../src/gcc/config/nvptx" > omp-device-properties-nvptx checking for string.h... echo "powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc " > checksum-options.tmp \ && ../../src/gcc/../move-if-change checksum-options.tmp checksum-options yes powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/grt-cstdio.o -MT vhdl/grt-cstdio.o -MMD -MP -MF vhdl/.deps/grt-cstdio.TPo ../../src/gcc/vhdl/grt-cstdio.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/grt-cdynload.o -MT vhdl/grt-cdynload.o -MMD -MP -MF vhdl/.deps/grt-cdynload.TPo ../../src/gcc/vhdl/grt-cdynload.cc checking for memory.h... echo "-- DO NOT EDIT" > tmp-dpaths.ads echo "-- This file is created by Makefile" >> tmp-dpaths.ads cp ../../src/gcc/gcc-ar.cc gcc-nm.cc cp ../../src/gcc/gcc-ar.cc gcc-ranlib.cc echo "package Default_Paths is" >> tmp-dpaths.ads TARGET_CPU_DEFAULT="" \ HEADERS="auto-host.h ansidecl.h" DEFINES="USED_FOR_TARGET " \ /bin/bash ../../src/gcc/mkconfig.sh tconfig.h echo " -- Accept long lines." >> tmp-dpaths.ads echo " pragma Style_Checks (\"M999\");" >> tmp-dpaths.ads echo " Install_Prefix : constant String :=" >> tmp-dpaths.ads echo " \"/usr/lib/ghdl/gcc\";" >> tmp-dpaths.ads (echo "@set version-GCC 14.2.0"; \ if [ "" = "experimental" ]; \ then echo "@set DEVELOPMENT"; \ else echo "@clear DEVELOPMENT"; \ fi) > gcc-vers.texiT suffix=`expr @"/usr/lib/ghdl/gcc/libexec" : @"/usr/lib/ghdl/gcc/\(.*\)"`; \ if test x"$suffix" = x; then suffix="/usr/lib/ghdl/gcc/libexec"; fi; \ echo " Compiler_Gcc : constant String :=" >> tmp-dpaths.ads; \ echo " \"$suffix/gcc/powerpc64le-linux-gnu/14/ghdl1\";" >> tmp-dpaths.ads echo @set srcdir `echo /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/../../src/gcc | sed -e 's|\\([@{}]\\)|@\\1|g'` >> gcc-vers.texiT echo " Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads yes echo " Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads echo " Compiler_Llvm : constant String := \"\";" >> tmp-dpaths.ads if [ -n "(Debian 14.2.0-19) " ]; then \ echo "@set VERSION_PACKAGE (Debian 14.2.0-19) " >> gcc-vers.texiT; \ fi echo " Post_Processor : constant String := \"\";" >> tmp-dpaths.ads echo " LibDir_Suffix : constant String :=">> tmp-dpaths.ads echo " \"lib/ghdl/gcc\";" >> tmp-dpaths.ads echo "@set BUGURL @uref{file:///usr/share/doc/gcc-14/README.Bugs}" >> gcc-vers.texiT; \ mv -f gcc-vers.texiT gcc-vers.texi echo " LibGhdlDir_Suffix : constant String :=">> tmp-dpaths.ads rm -f doc/ghdl.info* checking for strings.h... echo " \"lib/ghdl/gcc/vhdl\";" >> tmp-dpaths.ads makeinfo --split-size=5000000 --split-size=5000000 --no-split -o doc/ghdl.info ../../src/gcc/vhdl/ghdl.texi echo " LibNativeDir_Suffix : constant String :=" >> tmp-dpaths.ads echo timestamp > gcc.pod echo " \"lib/powerpc64le-linux-gnu\";" >> tmp-dpaths.ads perl ../../src/gcc/../contrib/texi2pod.pl ../../src/gcc/doc/invoke.texi > gcc.pod echo " IncDir_Suffix : constant String :=" >> tmp-dpaths.ads echo " \"lib/ghdl/include\";" >> tmp-dpaths.ads echo " Shared_Library_Extension : constant String :=">> tmp-dpaths.ads echo timestamp > lto-dump.pod No filename or title make[4]: [Makefile:3705: gcc.pod] Error 255 (ignored) echo " \".so\";" >> tmp-dpaths.ads perl ../../src/gcc/../contrib/texi2pod.pl -DBUGURL="@uref{file:///usr/share/doc/gcc-14/README.Bugs}" ../../src/gcc/doc/lto-dump.texi > lto-dump.pod echo " Executable_Extension : constant String :=">> tmp-dpaths.ads echo " \"\";" >> tmp-dpaths.ads echo " Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads echo " Backend_Version : constant String :=" >> tmp-dpaths.ads echo " \"14.2.0\";" >> tmp-dpaths.ads echo " Default_Gcc_Options : constant String :=" >> tmp-dpaths.ads No filename or title make[4]: [Makefile:3695: lto-dump.pod] Error 255 (ignored) echo " \"\";" >> tmp-dpaths.ads yes powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengenrtl.o ../../src/gcc/gengenrtl.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/sort.o ../../src/gcc/sort.cc echo "end Default_Paths;" >> tmp-dpaths.ads checking for inttypes.h... ../../src/gcc/../move-if-change tmp-dpaths.ads vhdl/default_paths.ads /bin/bash ../../src/gcc/../move-if-change tmp-mlib.h multilib.h powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genhooks.o ../../src/gcc/genhooks.cc echo timestamp > s-mlib rm -f tmp-omp-device-properties.h; \ for kind in kind arch isa; do \ echo 'const char omp_offload_device_'${kind}'[] = ' \ >> tmp-omp-device-properties.h; \ for prop in none nvptx-none=omp-device-properties-nvptx; do \ [ "$prop" = "none" ] && continue; \ tgt=`echo "$prop" | sed 's/=.*$//'`; \ props=`echo "$prop" | sed 's/.*=//'`; \ echo "\"$tgt\\0\"" >> tmp-omp-device-properties.h; \ sed -n 's/^'${kind}': //p' ${props} \ | sed 's/[[:blank:]]/ /g;s/ */ /g;s/^ //;s/ $//;s/ /\\0/g;s/^/"/;s/$/\\0\\0"/' \ >> tmp-omp-device-properties.h; \ done; \ echo '"";' >> tmp-omp-device-properties.h; \ done; \ /bin/bash ../../src/gcc/../move-if-change tmp-omp-device-properties.h \ omp-device-properties.h yes checking for stdint.h... echo timestamp > s-omp-device-properties-h powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genchecksum.o ../../src/gcc/genchecksum.cc yes checking for unistd.h... ../../src/gcc/genhooks.cc: In function ‘void emit_documentation(const char*)’: ../../src/gcc/genhooks.cc:121:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 121 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:112:17: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 112 | while (fscanf (f, "%*[^@]"), buf[0] = '\0', | ~~~~~~~^~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:174:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 174 | fscanf (f, "%5[^ \n]", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~ ../../src/gcc/genhooks.cc:180:14: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 180 | fscanf (f, "%999s", buf); | ~~~~~~~^~~~~~~~~~~~~~~~~ yes checking minix/config.h usability... no checking minix/config.h presence... if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/cpp.info ../../src/gcc/doc/cpp.texi; \ fi no checking for minix/config.h... no checking whether it is safe to define __EXTENSIONS__... if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/gcc.info ../../src/gcc/doc/gcc.texi; \ fi yes checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/gccinstall.info ../../src/gcc/doc/install.texi; \ fi yes checking for a thread-safe mkdir -p... /usr/bin/mkdir -p checking for gawk... gawk checking whether /usr/bin/make sets $(MAKE)... if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/cppinternals.info ../../src/gcc/doc/cppinternals.texi; \ fi yes checking for style of include used by /usr/bin/make... GNU checking whether /usr/bin/make supports nested variables... cpp.texi: warning: document without nodes yes echo timestamp > doc/gcc.1 (pod2man --center="GNU" --release="gcc-14" --date=2025-03-15 --section=1 gcc.pod > doc/gcc.1.T$$ && \ mv -f doc/gcc.1.T$$ doc/gcc.1) || \ (rm -f doc/gcc.1.T$$ && exit 1) checking dependency style of powerpc64le-linux-gnu-gcc-14... echo timestamp > doc/lto-dump.1 (pod2man --center="GNU" --release="gcc-14" --date=2025-03-15 --section=1 lto-dump.pod > doc/lto-dump.1.T$$ && \ mv -f doc/lto-dump.1.T$$ doc/lto-dump.1) || \ (rm -f doc/lto-dump.1.T$$ && exit 1) gcc3 pod2man: unable to format gcc.pod make[4]: [Makefile:3683: doc/gcc.1] Error 1 (ignored) powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmodes.o ../../src/gcc/genmodes.cc checking whether to enable maintainer-specific portions of Makefiles... no checking how to print strings... printf checking for a sed that does not truncate output... /usr/bin/sed checking for fgrep... /usr/bin/grep -F checking for ld used by powerpc64le-linux-gnu-gcc-14... install.texi: warning: document without nodes cppinternals.texi: warning: document without nodes powerpc64le-linux-gnu-ld checking if the linker (powerpc64le-linux-gnu-ld) is GNU ld... powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/errors.o ../../src/gcc/errors.cc yes checking for BSD- or MS-compatible name lister (nm)... powerpc64le-linux-gnu-g++-14 -c -DBASEVER="\"14.2.0\"" -DDATESTAMP="\"\"" -DREVISION="\"\"" -DDEVPHASE="\"\"" -DPKGVERSION="\"(Debian 14.2.0-19) \"" -DBUGURL="\"\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genversion.o ../../src/gcc/genversion.cc pod2man: unable to format lto-dump.pod make[4]: [Makefile:3682: doc/lto-dump.1] Error 1 (ignored) powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-lex.o ../../src/gcc/gengtype-lex.cc /usr/bin/powerpc64le-linux-gnu-nm -B checking the name lister (/usr/bin/powerpc64le-linux-gnu-nm -B) interface... BSD nm checking whether ln -s works... yes checking the maximum length of command line arguments... 1572864 checking whether the shell understands some XSI constructs... yes checking whether the shell understands "+="... yes checking for powerpc64le-linux-gnu-ld option to reload object files... -r checking for powerpc64le-linux-gnu-objdump... powerpc64le-linux-gnu-objdump checking how to recognize dependent libraries... pass_all checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-parse.o ../../src/gcc/gengtype-parse.cc checking for powerpc64le-linux-gnu-strip... powerpc64le-linux-gnu-strip checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking command to parse /usr/bin/powerpc64le-linux-gnu-nm -B output from powerpc64le-linux-gnu-gcc-14 object... /d/gcc-14.2.0/gcc-14.2.0/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-14.2.0/gcc-14.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-14.2.0/gcc-14.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-14.2.0/gcc-14.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-14.2.0/gcc-14.2.0/gcc/gengtype-lex.l:135:1: note: here powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genhooks \ build/genhooks.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genchecksum \ build/genchecksum.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a ghdl.texi:7199: warning: @footnote should not appear on @deffn line ghdl.texi:7292: warning: @footnote should not appear on @deffn line ghdl.texi:7306: warning: @footnote should not appear on @deffn line ghdl.texi:7313: warning: @footnote should not appear on @deffn line ghdl.texi:7334: warning: @footnote should not appear on @deffn line ghdl.texi:7341: warning: @footnote should not appear on @deffn line ghdl.texi:7925: warning: @footnote should not appear on @deffn line ghdl.texi:8000: warning: @footnote should not appear on @deffn line ghdl.texi:8075: warning: @footnote should not appear on @deffn line ghdl.texi:8150: warning: @footnote should not appear on @deffn line ghdl.texi:8219: warning: @footnote should not appear on @deffn line ghdl.texi:8351: warning: @footnote should not appear on @deffn line ghdl.texi:8351: warning: @footnote should not appear on @deffn line ghdl.texi:8362: warning: @footnote should not appear on @deffn line ghdl.texi:8373: warning: @footnote should not appear on @deffn line ghdl.texi:8384: warning: @footnote should not appear on @deffn line ghdl.texi:8487: warning: @footnote should not appear on @deffn line ghdl.texi:8487: warning: @footnote should not appear on @deffn line ghdl.texi:8498: warning: @footnote should not appear on @deffn line ghdl.texi:8700: warning: @footnote should not appear on @deffn line ghdl.texi:8771: warning: @footnote should not appear on @deffn line ghdl.texi:8842: warning: @footnote should not appear on @deffn line ghdl.texi:8925: warning: @footnote should not appear on @deffn line ghdl.texi:8925: warning: @footnote should not appear on @deffn line ghdl.texi:8936: warning: @footnote should not appear on @deffn line ghdl.texi:8936: warning: @footnote should not appear on @deffn line ghdl.texi:8947: warning: @footnote should not appear on @deffn line ghdl.texi:9043: warning: @footnote should not appear on @deffn line ghdl.texi:9043: warning: @footnote should not appear on @deffn line ghdl.texi:9054: warning: @footnote should not appear on @deffn line ghdl.texi:9054: warning: @footnote should not appear on @deffn line ghdl.texi:9065: warning: @footnote should not appear on @deffn line ghdl.texi:9155: warning: @footnote should not appear on @deffn line ghdl.texi:9155: warning: @footnote should not appear on @deffn line ghdl.texi:9166: warning: @footnote should not appear on @deffn line ghdl.texi:9166: warning: @footnote should not appear on @deffn line ghdl.texi:9177: warning: @footnote should not appear on @deffn line ghdl.texi:9261: warning: @footnote should not appear on @deffn line ghdl.texi:9261: warning: @footnote should not appear on @deffn line ghdl.texi:9272: warning: @footnote should not appear on @deffn line ghdl.texi:9272: warning: @footnote should not appear on @deffn line ghdl.texi:9283: warning: @footnote should not appear on @deffn line ghdl.texi:9283: warning: @footnote should not appear on @deffn line ghdl.texi:9294: warning: @footnote should not appear on @deffn line ghdl.texi:9391: warning: @footnote should not appear on @deffn line ghdl.texi:9391: warning: @footnote should not appear on @deffn line ghdl.texi:9402: warning: @footnote should not appear on @deffn line ghdl.texi:9402: warning: @footnote should not appear on @deffn line ghdl.texi:9413: warning: @footnote should not appear on @deffn line ghdl.texi:9413: warning: @footnote should not appear on @deffn line ghdl.texi:9424: warning: @footnote should not appear on @deffn line ghdl.texi:9521: warning: @footnote should not appear on @deffn line ghdl.texi:9597: warning: @footnote should not appear on @deffn line ghdl.texi:9667: warning: @footnote should not appear on @deffn line ghdl.texi:9743: warning: @footnote should not appear on @deffn line ghdl.texi:9743: warning: @footnote should not appear on @deffn line ghdl.texi:9754: warning: @footnote should not appear on @deffn line cat ../../src/gcc/doc/tm.texi.in > tmp-tm.texi ghdl.texi:9754: warning: @footnote should not appear on @deffn line ghdl.texi:9765: warning: @footnote should not appear on @deffn line ghdl.texi:9837: warning: @footnote should not appear on @deffn line ghdl.texi:9906: warning: @footnote should not appear on @deffn line ghdl.texi:9993: warning: @footnote should not appear on @deffn line ghdl.texi:9993: warning: @footnote should not appear on @deffn line ghdl.texi:10004: warning: @footnote should not appear on @deffn line ghdl.texi:10004: warning: @footnote should not appear on @deffn line ghdl.texi:10015: warning: @footnote should not appear on @deffn line ghdl.texi:10110: warning: @footnote should not appear on @deffn line ghdl.texi:10110: warning: @footnote should not appear on @deffn line ghdl.texi:10121: warning: @footnote should not appear on @deffn line ghdl.texi:10121: warning: @footnote should not appear on @deffn line ghdl.texi:10132: warning: @footnote should not appear on @deffn line ghdl.texi:10227: warning: @footnote should not appear on @deffn line ghdl.texi:10227: warning: @footnote should not appear on @deffn line ghdl.texi:10238: warning: @footnote should not appear on @deffn line ghdl.texi:10238: warning: @footnote should not appear on @deffn line ghdl.texi:10249: warning: @footnote should not appear on @deffn line ghdl.texi:10345: warning: @footnote should not appear on @deffn line ghdl.texi:10345: warning: @footnote should not appear on @deffn line ghdl.texi:10356: warning: @footnote should not appear on @deffn line ghdl.texi:10356: warning: @footnote should not appear on @deffn line ghdl.texi:10367: warning: @footnote should not appear on @deffn line ghdl.texi:10445: warning: @footnote should not appear on @deffn line ghdl.texi:10515: warning: @footnote should not appear on @deffn line ghdl.texi:10515: warning: @footnote should not appear on @deffn line ghdl.texi:10526: warning: @footnote should not appear on @deffn line ghdl.texi:10526: warning: @footnote should not appear on @deffn line ghdl.texi:10537: warning: @footnote should not appear on @deffn line ghdl.texi:10621: warning: @footnote should not appear on @deffn line ghdl.texi:10621: warning: @footnote should not appear on @deffn line ghdl.texi:10632: warning: @footnote should not appear on @deffn line ghdl.texi:10632: warning: @footnote should not appear on @deffn line ghdl.texi:10643: warning: @footnote should not appear on @deffn line ghdl.texi:10733: warning: @footnote should not appear on @deffn line ghdl.texi:10733: warning: @footnote should not appear on @deffn line ghdl.texi:10744: warning: @footnote should not appear on @deffn line ghdl.texi:10744: warning: @footnote should not appear on @deffn line ghdl.texi:10755: warning: @footnote should not appear on @deffn line ghdl.texi:10919: warning: @footnote should not appear on @deffn line ghdl.texi:10977: warning: @footnote should not appear on @deffn line ghdl.texi:11035: warning: @footnote should not appear on @deffn line ghdl.texi:11129: warning: @footnote should not appear on @deffn line ghdl.texi:11129: warning: @footnote should not appear on @deffn line ghdl.texi:11129: warning: @footnote should not appear on @deffn line ghdl.texi:11129: warning: @footnote should not appear on @deffn line ghdl.texi:11141: warning: @footnote should not appear on @deffn line ghdl.texi:11141: warning: @footnote should not appear on @deffn line ghdl.texi:11152: warning: @footnote should not appear on @deffn line ghdl.texi:11152: warning: @footnote should not appear on @deffn line ghdl.texi:11163: warning: @footnote should not appear on @deffn line ghdl.texi:11174: warning: @footnote should not appear on @deffn line ghdl.texi:11174: warning: @footnote should not appear on @deffn line ghdl.texi:11185: warning: @footnote should not appear on @deffn line ghdl.texi:11196: warning: @footnote should not appear on @deffn line ghdl.texi:11196: warning: @footnote should not appear on @deffn line ghdl.texi:11207: warning: @footnote should not appear on @deffn line ghdl.texi:11408: warning: @footnote should not appear on @deffn line ghdl.texi:11408: warning: @footnote should not appear on @deffn line ghdl.texi:11408: warning: @footnote should not appear on @deffn line ghdl.texi:11408: warning: @footnote should not appear on @deffn line ghdl.texi:11420: warning: @footnote should not appear on @deffn line ghdl.texi:11420: warning: @footnote should not appear on @deffn line ghdl.texi:11431: warning: @footnote should not appear on @deffn line ghdl.texi:11431: warning: @footnote should not appear on @deffn line ghdl.texi:11442: warning: @footnote should not appear on @deffn line ghdl.texi:11453: warning: @footnote should not appear on @deffn line ghdl.texi:11453: warning: @footnote should not appear on @deffn line ghdl.texi:11464: warning: @footnote should not appear on @deffn line ghdl.texi:11475: warning: @footnote should not appear on @deffn line ghdl.texi:11475: warning: @footnote should not appear on @deffn line ghdl.texi:11486: warning: @footnote should not appear on @deffn line ghdl.texi:11675: warning: @footnote should not appear on @deffn line ghdl.texi:11675: warning: @footnote should not appear on @deffn line ghdl.texi:11686: warning: @footnote should not appear on @deffn line ghdl.texi:11697: warning: @footnote should not appear on @deffn line ghdl.texi:11708: warning: @footnote should not appear on @deffn line ghdl.texi:11811: warning: @footnote should not appear on @deffn line ghdl.texi:11811: warning: @footnote should not appear on @deffn line ghdl.texi:11811: warning: @footnote should not appear on @deffn line ghdl.texi:11811: warning: @footnote should not appear on @deffn line ghdl.texi:11823: warning: @footnote should not appear on @deffn line ghdl.texi:11823: warning: @footnote should not appear on @deffn line ghdl.texi:11834: warning: @footnote should not appear on @deffn line ghdl.texi:11834: warning: @footnote should not appear on @deffn line ghdl.texi:11845: warning: @footnote should not appear on @deffn line ghdl.texi:11856: warning: @footnote should not appear on @deffn line ghdl.texi:11856: warning: @footnote should not appear on @deffn line ghdl.texi:11867: warning: @footnote should not appear on @deffn line ghdl.texi:11878: warning: @footnote should not appear on @deffn line ghdl.texi:11878: warning: @footnote should not appear on @deffn line ghdl.texi:11889: warning: @footnote should not appear on @deffn line ghdl.texi:12081: warning: @footnote should not appear on @deffn line ghdl.texi:12081: warning: @footnote should not appear on @deffn line ghdl.texi:12081: warning: @footnote should not appear on @deffn line ghdl.texi:12081: warning: @footnote should not appear on @deffn line ghdl.texi:12093: warning: @footnote should not appear on @deffn line ghdl.texi:12093: warning: @footnote should not appear on @deffn line ghdl.texi:12104: warning: @footnote should not appear on @deffn line ghdl.texi:12104: warning: @footnote should not appear on @deffn line ghdl.texi:12115: warning: @footnote should not appear on @deffn line ghdl.texi:12126: warning: @footnote should not appear on @deffn line ghdl.texi:12126: warning: @footnote should not appear on @deffn line ghdl.texi:12137: warning: @footnote should not appear on @deffn line ghdl.texi:12148: warning: @footnote should not appear on @deffn line ghdl.texi:12148: warning: @footnote should not appear on @deffn line ghdl.texi:12159: warning: @footnote should not appear on @deffn line ghdl.texi:12345: warning: @footnote should not appear on @deffn line ghdl.texi:12345: warning: @footnote should not appear on @deffn line ghdl.texi:12345: warning: @footnote should not appear on @deffn line ghdl.texi:12345: warning: @footnote should not appear on @deffn line ghdl.texi:12357: warning: @footnote should not appear on @deffn line ghdl.texi:12357: warning: @footnote should not appear on @deffn line ghdl.texi:12368: warning: @footnote should not appear on @deffn line ghdl.texi:12368: warning: @footnote should not appear on @deffn line ghdl.texi:12379: warning: @footnote should not appear on @deffn line ghdl.texi:12390: warning: @footnote should not appear on @deffn line ghdl.texi:12390: warning: @footnote should not appear on @deffn line ghdl.texi:12401: warning: @footnote should not appear on @deffn line ghdl.texi:12412: warning: @footnote should not appear on @deffn line ghdl.texi:12412: warning: @footnote should not appear on @deffn line ghdl.texi:12423: warning: @footnote should not appear on @deffn line ghdl.texi:12587: warning: @footnote should not appear on @deffn line ghdl.texi:12587: warning: @footnote should not appear on @deffn line ghdl.texi:12587: warning: @footnote should not appear on @deffn line ghdl.texi:12587: warning: @footnote should not appear on @deffn line ghdl.texi:12599: warning: @footnote should not appear on @deffn line ghdl.texi:12599: warning: @footnote should not appear on @deffn line ghdl.texi:12610: warning: @footnote should not appear on @deffn line ghdl.texi:12610: warning: @footnote should not appear on @deffn line ghdl.texi:12621: warning: @footnote should not appear on @deffn line ghdl.texi:12632: warning: @footnote should not appear on @deffn line ghdl.texi:12632: warning: @footnote should not appear on @deffn line ghdl.texi:12643: warning: @footnote should not appear on @deffn line ghdl.texi:12654: warning: @footnote should not appear on @deffn line ghdl.texi:12654: warning: @footnote should not appear on @deffn line ghdl.texi:12665: warning: @footnote should not appear on @deffn line ghdl.texi:12833: warning: @footnote should not appear on @deffn line ghdl.texi:12833: warning: @footnote should not appear on @deffn line ghdl.texi:12833: warning: @footnote should not appear on @deffn line ghdl.texi:12833: warning: @footnote should not appear on @deffn line ghdl.texi:12845: warning: @footnote should not appear on @deffn line ghdl.texi:12845: warning: @footnote should not appear on @deffn line ghdl.texi:12856: warning: @footnote should not appear on @deffn line ghdl.texi:12856: warning: @footnote should not appear on @deffn line ghdl.texi:12867: warning: @footnote should not appear on @deffn line ghdl.texi:12878: warning: @footnote should not appear on @deffn line ghdl.texi:12878: warning: @footnote should not appear on @deffn line ghdl.texi:12889: warning: @footnote should not appear on @deffn line ghdl.texi:12900: warning: @footnote should not appear on @deffn line ghdl.texi:12900: warning: @footnote should not appear on @deffn line ghdl.texi:12911: warning: @footnote should not appear on @deffn line ghdl.texi:13305: warning: @footnote should not appear on @deffn line ghdl.texi:13374: warning: @footnote should not appear on @deffn line ghdl.texi:13443: warning: @footnote should not appear on @deffn line ghdl.texi:13512: warning: @footnote should not appear on @deffn line ghdl.texi:13581: warning: @footnote should not appear on @deffn line ghdl.texi:13650: warning: @footnote should not appear on @deffn line ghdl.texi:13719: warning: @footnote should not appear on @deffn line ghdl.texi:13783: warning: @footnote should not appear on @deffn line ghdl.texi:13858: warning: @footnote should not appear on @deffn line ghdl.texi:13933: warning: @footnote should not appear on @deffn line ghdl.texi:14008: warning: @footnote should not appear on @deffn line ghdl.texi:14083: warning: @footnote should not appear on @deffn line ghdl.texi:14158: warning: @footnote should not appear on @deffn line ghdl.texi:14233: warning: @footnote should not appear on @deffn line ghdl.texi:14308: warning: @footnote should not appear on @deffn line ghdl.texi:14383: warning: @footnote should not appear on @deffn line ghdl.texi:14458: warning: @footnote should not appear on @deffn line ghdl.texi:14533: warning: @footnote should not appear on @deffn line ghdl.texi:14608: warning: @footnote should not appear on @deffn line ghdl.texi:14683: warning: @footnote should not appear on @deffn line ghdl.texi:14758: warning: @footnote should not appear on @deffn line ghdl.texi:14833: warning: @footnote should not appear on @deffn line ghdl.texi:14908: warning: @footnote should not appear on @deffn line ghdl.texi:14983: warning: @footnote should not appear on @deffn line ghdl.texi:15058: warning: @footnote should not appear on @deffn line ghdl.texi:15133: warning: @footnote should not appear on @deffn line ghdl.texi:15208: warning: @footnote should not appear on @deffn line ghdl.texi:15283: warning: @footnote should not appear on @deffn line ghdl.texi:15358: warning: @footnote should not appear on @deffn line ghdl.texi:15433: warning: @footnote should not appear on @deffn line ghdl.texi:15508: warning: @footnote should not appear on @deffn line ghdl.texi:15583: warning: @footnote should not appear on @deffn line ghdl.texi:15658: warning: @footnote should not appear on @deffn line ghdl.texi:15733: warning: @footnote should not appear on @deffn line ghdl.texi:15808: warning: @footnote should not appear on @deffn line ghdl.texi:15883: warning: @footnote should not appear on @deffn line ghdl.texi:15958: warning: @footnote should not appear on @deffn line ghdl.texi:16033: warning: @footnote should not appear on @deffn line ghdl.texi:16108: warning: @footnote should not appear on @deffn line ghdl.texi:16183: warning: @footnote should not appear on @deffn line ghdl.texi:16258: warning: @footnote should not appear on @deffn line ghdl.texi:16333: warning: @footnote should not appear on @deffn line ghdl.texi:16402: warning: @footnote should not appear on @deffn line ghdl.texi:16471: warning: @footnote should not appear on @deffn line ghdl.texi:16540: warning: @footnote should not appear on @deffn line ghdl.texi:16716: warning: @footnote should not appear on @deffn line ghdl.texi:16716: warning: @footnote should not appear on @deffn line ghdl.texi:16727: warning: @footnote should not appear on @deffn line ghdl.texi:16727: warning: @footnote should not appear on @deffn line ghdl.texi:16738: warning: @footnote should not appear on @deffn line ghdl.texi:16738: warning: @footnote should not appear on @deffn line ghdl.texi:16749: warning: @footnote should not appear on @deffn line ghdl.texi:16834: warning: @footnote should not appear on @deffn line ghdl.texi:16834: warning: @footnote should not appear on @deffn line ghdl.texi:16845: warning: @footnote should not appear on @deffn line ghdl.texi:16856: warning: @footnote should not appear on @deffn line ghdl.texi:16867: warning: @footnote should not appear on @deffn line ghdl.texi:16945: warning: @footnote should not appear on @deffn line ghdl.texi:16945: warning: @footnote should not appear on @deffn line ghdl.texi:17015: warning: @footnote should not appear on @deffn line ghdl.texi:17015: warning: @footnote should not appear on @deffn line ghdl.texi:17026: warning: @footnote should not appear on @deffn line ghdl.texi:17037: warning: @footnote should not appear on @deffn line ghdl.texi:17048: warning: @footnote should not appear on @deffn line ghdl.texi:17132: warning: @footnote should not appear on @deffn line ghdl.texi:17132: warning: @footnote should not appear on @deffn line ghdl.texi:17143: warning: @footnote should not appear on @deffn line ghdl.texi:17154: warning: @footnote should not appear on @deffn line ghdl.texi:17165: warning: @footnote should not appear on @deffn line ghdl.texi:17268: warning: @footnote should not appear on @deffn line ghdl.texi:17268: warning: @footnote should not appear on @deffn line ghdl.texi:17279: warning: @footnote should not appear on @deffn line ghdl.texi:17279: warning: @footnote should not appear on @deffn line ghdl.texi:17290: warning: @footnote should not appear on @deffn line ghdl.texi:17290: warning: @footnote should not appear on @deffn line ghdl.texi:17301: warning: @footnote should not appear on @deffn line ghdl.texi:17404: warning: @footnote should not appear on @deffn line ghdl.texi:17404: warning: @footnote should not appear on @deffn line ghdl.texi:17415: warning: @footnote should not appear on @deffn line ghdl.texi:17415: warning: @footnote should not appear on @deffn line ghdl.texi:17426: warning: @footnote should not appear on @deffn line ghdl.texi:17426: warning: @footnote should not appear on @deffn line ghdl.texi:17437: warning: @footnote should not appear on @deffn line ghdl.texi:17540: warning: @footnote should not appear on @deffn line ghdl.texi:17540: warning: @footnote should not appear on @deffn line ghdl.texi:17551: warning: @footnote should not appear on @deffn line ghdl.texi:17551: warning: @footnote should not appear on @deffn line ghdl.texi:17562: warning: @footnote should not appear on @deffn line ghdl.texi:17562: warning: @footnote should not appear on @deffn line ghdl.texi:17573: warning: @footnote should not appear on @deffn line ghdl.texi:17676: warning: @footnote should not appear on @deffn line ghdl.texi:17676: warning: @footnote should not appear on @deffn line ghdl.texi:17687: warning: @footnote should not appear on @deffn line ghdl.texi:17687: warning: @footnote should not appear on @deffn line ghdl.texi:17698: warning: @footnote should not appear on @deffn line ghdl.texi:17698: warning: @footnote should not appear on @deffn line ghdl.texi:17709: warning: @footnote should not appear on @deffn line ghdl.texi:17800: warning: @footnote should not appear on @deffn line ghdl.texi:17800: warning: @footnote should not appear on @deffn line ghdl.texi:17811: warning: @footnote should not appear on @deffn line ghdl.texi:17811: warning: @footnote should not appear on @deffn line ghdl.texi:17822: warning: @footnote should not appear on @deffn line ghdl.texi:17822: warning: @footnote should not appear on @deffn line ghdl.texi:17833: warning: @footnote should not appear on @deffn line ghdl.texi:17971: warning: @footnote should not appear on @deffn line ghdl.texi:18040: warning: @footnote should not appear on @deffn line ghdl.texi:18109: warning: @footnote should not appear on @deffn line ghdl.texi:18178: warning: @footnote should not appear on @deffn line ghdl.texi:18253: warning: @footnote should not appear on @deffn line ghdl.texi:18328: warning: @footnote should not appear on @deffn line ghdl.texi:18397: warning: @footnote should not appear on @deffn line ghdl.texi:18466: warning: @footnote should not appear on @deffn line ghdl.texi:18597: warning: @footnote should not appear on @deffn line ghdl.texi:18597: warning: @footnote should not appear on @deffn line ghdl.texi:18608: warning: @footnote should not appear on @deffn line ghdl.texi:18619: warning: @footnote should not appear on @deffn line ghdl.texi:18630: warning: @footnote should not appear on @deffn line ghdl.texi:18784: warning: @footnote should not appear on @deffn line ghdl.texi:18797: warning: @footnote should not appear on @deffn line ghdl.texi:18808: warning: @footnote should not appear on @deffn line ghdl.texi:18819: warning: @footnote should not appear on @deffn line ghdl.texi:18830: warning: @footnote should not appear on @deffn line ghdl.texi:18830: warning: @footnote should not appear on @deffn line ghdl.texi:18841: warning: @footnote should not appear on @deffn line ghdl.texi:18929: warning: @footnote should not appear on @deffn line ghdl.texi:18942: warning: @footnote should not appear on @deffn line ghdl.texi:18953: warning: @footnote should not appear on @deffn line ghdl.texi:18964: warning: @footnote should not appear on @deffn line ghdl.texi:18975: warning: @footnote should not appear on @deffn line ghdl.texi:18975: warning: @footnote should not appear on @deffn line ghdl.texi:18986: warning: @footnote should not appear on @deffn line ghdl.texi:19068: warning: @footnote should not appear on @deffn line ghdl.texi:19081: warning: @footnote should not appear on @deffn line ghdl.texi:19092: warning: @footnote should not appear on @deffn line ghdl.texi:19103: warning: @footnote should not appear on @deffn line ghdl.texi:19114: warning: @footnote should not appear on @deffn line ghdl.texi:19114: warning: @footnote should not appear on @deffn line ghdl.texi:19125: warning: @footnote should not appear on @deffn line ghdl.texi:19207: warning: @footnote should not appear on @deffn line ghdl.texi:19220: warning: @footnote should not appear on @deffn line ghdl.texi:19231: warning: @footnote should not appear on @deffn line ghdl.texi:19242: warning: @footnote should not appear on @deffn line ghdl.texi:19253: warning: @footnote should not appear on @deffn line ghdl.texi:19253: warning: @footnote should not appear on @deffn line ghdl.texi:19264: warning: @footnote should not appear on @deffn line ghdl.texi:19352: warning: @footnote should not appear on @deffn line ghdl.texi:19365: warning: @footnote should not appear on @deffn line ghdl.texi:19376: warning: @footnote should not appear on @deffn line ghdl.texi:19387: warning: @footnote should not appear on @deffn line ghdl.texi:19398: warning: @footnote should not appear on @deffn line ghdl.texi:19398: warning: @footnote should not appear on @deffn line ghdl.texi:19409: warning: @footnote should not appear on @deffn line ghdl.texi:19497: warning: @footnote should not appear on @deffn line ghdl.texi:19510: warning: @footnote should not appear on @deffn line ghdl.texi:19521: warning: @footnote should not appear on @deffn line ghdl.texi:19532: warning: @footnote should not appear on @deffn line ghdl.texi:19543: warning: @footnote should not appear on @deffn line ghdl.texi:19543: warning: @footnote should not appear on @deffn line ghdl.texi:19554: warning: @footnote should not appear on @deffn line ghdl.texi:19635: warning: @footnote should not appear on @deffn line ghdl.texi:19648: warning: @footnote should not appear on @deffn line ghdl.texi:19659: warning: @footnote should not appear on @deffn line ghdl.texi:19670: warning: @footnote should not appear on @deffn line ghdl.texi:19681: warning: @footnote should not appear on @deffn line ghdl.texi:19681: warning: @footnote should not appear on @deffn line ghdl.texi:19692: warning: @footnote should not appear on @deffn line ghdl.texi:19758: warning: @footnote should not appear on @deffn line ghdl.texi:19771: warning: @footnote should not appear on @deffn line ghdl.texi:19782: warning: @footnote should not appear on @deffn line ghdl.texi:19793: warning: @footnote should not appear on @deffn line ghdl.texi:19804: warning: @footnote should not appear on @deffn line ghdl.texi:19804: warning: @footnote should not appear on @deffn line ghdl.texi:19815: warning: @footnote should not appear on @deffn line ghdl.texi:19949: warning: @footnote should not appear on @deffn line ghdl.texi:19949: warning: @footnote should not appear on @deffn line ghdl.texi:19956: warning: @footnote should not appear on @deffn line ghdl.texi:19956: warning: @footnote should not appear on @deffn line ghdl.texi:19956: warning: @footnote should not appear on @deffn line ghdl.texi:19963: warning: @footnote should not appear on @deffn line ghdl.texi:20065: warning: @footnote should not appear on @deffn line ghdl.texi:20065: warning: @footnote should not appear on @deffn line ghdl.texi:20065: warning: @footnote should not appear on @deffn line ghdl.texi:20065: warning: @footnote should not appear on @deffn line ghdl.texi:20065: warning: @footnote should not appear on @deffn line ghdl.texi:20072: warning: @footnote should not appear on @deffn line ghdl.texi:20072: warning: @footnote should not appear on @deffn line ghdl.texi:20072: warning: @footnote should not appear on @deffn line ghdl.texi:20079: warning: @footnote should not appear on @deffn line ghdl.texi:20079: warning: @footnote should not appear on @deffn line ghdl.texi:20079: warning: @footnote should not appear on @deffn line ghdl.texi:20086: warning: @footnote should not appear on @deffn line ghdl.texi:20086: warning: @footnote should not appear on @deffn line ghdl.texi:20086: warning: @footnote should not appear on @deffn line ghdl.texi:20093: warning: @footnote should not appear on @deffn line ghdl.texi:20104: warning: @footnote should not appear on @deffn line ghdl.texi:20115: warning: @footnote should not appear on @deffn line ok ghdl.texi:20115: warning: @footnote should not appear on @deffn line ghdl.texi:20115: warning: @footnote should not appear on @deffn line ghdl.texi:20122: warning: @footnote should not appear on @deffn line ghdl.texi:20122: warning: @footnote should not appear on @deffn line ghdl.texi:20122: warning: @footnote should not appear on @deffn line ghdl.texi:20129: warning: @footnote should not appear on @deffn line ghdl.texi:20294: warning: @footnote should not appear on @deffn line ghdl.texi:20294: warning: @footnote should not appear on @deffn line ghdl.texi:20294: warning: @footnote should not appear on @deffn line ghdl.texi:20294: warning: @footnote should not appear on @deffn line ghdl.texi:20294: warning: @footnote should not appear on @deffn line ghdl.texi:20301: warning: @footnote should not appear on @deffn line ghdl.texi:20301: warning: @footnote should not appear on @deffn line ghdl.texi:20301: warning: @footnote should not appear on @deffn line ghdl.texi:20308: warning: @footnote should not appear on @deffn line ghdl.texi:20308: warning: @footnote should not appear on @deffn line ghdl.texi:20308: warning: @footnote should not appear on @deffn line ghdl.texi:20315: warning: @footnote should not appear on @deffn line ghdl.texi:20315: warning: @footnote should not appear on @deffn line ghdl.texi:20322: warning: @footnote should not appear on @deffn line ghdl.texi:20322: warning: @footnote should not appear on @deffn line ghdl.texi:20333: warning: @footnote should not appear on @deffn line ghdl.texi:20333: warning: @footnote should not appear on @deffn line ghdl.texi:20333: warning: @footnote should not appear on @deffn line ghdl.texi:20340: warning: @footnote should not appear on @deffn line ghdl.texi:20340: warning: @footnote should not appear on @deffn line ghdl.texi:20340: warning: @footnote should not appear on @deffn line ghdl.texi:20347: warning: @footnote should not appear on @deffn line ghdl.texi:20347: warning: @footnote should not appear on @deffn line ghdl.texi:20347: warning: @footnote should not appear on @deffn line ghdl.texi:20354: warning: @footnote should not appear on @deffn line ghdl.texi:20365: warning: @footnote should not appear on @deffn line ghdl.texi:20365: warning: @footnote should not appear on @deffn line ghdl.texi:20365: warning: @footnote should not appear on @deffn line ghdl.texi:20372: warning: @footnote should not appear on @deffn line ghdl.texi:20372: warning: @footnote should not appear on @deffn line ghdl.texi:20372: warning: @footnote should not appear on @deffn line ghdl.texi:20379: warning: @footnote should not appear on @deffn line ghdl.texi:20379: warning: @footnote should not appear on @deffn line ghdl.texi:20379: warning: @footnote should not appear on @deffn line ghdl.texi:20624: warning: @footnote should not appear on @deffn line ghdl.texi:20624: warning: @footnote should not appear on @deffn line ghdl.texi:20635: warning: @footnote should not appear on @deffn line ghdl.texi:20635: warning: @footnote should not appear on @deffn line ghdl.texi:20646: warning: @footnote should not appear on @deffn line ghdl.texi:20646: warning: @footnote should not appear on @deffn line ghdl.texi:20657: warning: @footnote should not appear on @deffn line ghdl.texi:20748: warning: @footnote should not appear on @deffn line ghdl.texi:20748: warning: @footnote should not appear on @deffn line ghdl.texi:20759: warning: @footnote should not appear on @deffn line ghdl.texi:20759: warning: @footnote should not appear on @deffn line ghdl.texi:20770: warning: @footnote should not appear on @deffn line ghdl.texi:20770: warning: @footnote should not appear on @deffn line ghdl.texi:20781: warning: @footnote should not appear on @deffn line ghdl.texi:20878: warning: @footnote should not appear on @deffn line ghdl.texi:20878: warning: @footnote should not appear on @deffn line ghdl.texi:20889: warning: @footnote should not appear on @deffn line ghdl.texi:20889: warning: @footnote should not appear on @deffn line ghdl.texi:20900: warning: @footnote should not appear on @deffn line ghdl.texi:20900: warning: @footnote should not appear on @deffn line ghdl.texi:20911: warning: @footnote should not appear on @deffn line ghdl.texi:21002: warning: @footnote should not appear on @deffn line ghdl.texi:21002: warning: @footnote should not appear on @deffn line ghdl.texi:21013: warning: @footnote should not appear on @deffn line ghdl.texi:21013: warning: @footnote should not appear on @deffn line ghdl.texi:21024: warning: @footnote should not appear on @deffn line ghdl.texi:21024: warning: @footnote should not appear on @deffn line ghdl.texi:21035: warning: @footnote should not appear on @deffn line ghdl.texi:21132: warning: @footnote should not appear on @deffn line ghdl.texi:21132: warning: @footnote should not appear on @deffn line ghdl.texi:21143: warning: @footnote should not appear on @deffn line ghdl.texi:21143: warning: @footnote should not appear on @deffn line ghdl.texi:21154: warning: @footnote should not appear on @deffn line ghdl.texi:21154: warning: @footnote should not appear on @deffn line ghdl.texi:21165: warning: @footnote should not appear on @deffn line ghdl.texi:21256: warning: @footnote should not appear on @deffn line ghdl.texi:21256: warning: @footnote should not appear on @deffn line ghdl.texi:21267: warning: @footnote should not appear on @deffn line ghdl.texi:21267: warning: @footnote should not appear on @deffn line ghdl.texi:21278: warning: @footnote should not appear on @deffn line ghdl.texi:21278: warning: @footnote should not appear on @deffn line ghdl.texi:21289: warning: @footnote should not appear on @deffn line ghdl.texi:21438: warning: @footnote should not appear on @deffn line ghdl.texi:21438: warning: @footnote should not appear on @deffn line ghdl.texi:21438: warning: @footnote should not appear on @deffn line ghdl.texi:21438: warning: @footnote should not appear on @deffn line ghdl.texi:21450: warning: @footnote should not appear on @deffn line ghdl.texi:21450: warning: @footnote should not appear on @deffn line ghdl.texi:21461: warning: @footnote should not appear on @deffn line ghdl.texi:21461: warning: @footnote should not appear on @deffn line ghdl.texi:21472: warning: @footnote should not appear on @deffn line ghdl.texi:21483: warning: @footnote should not appear on @deffn line ghdl.texi:21483: warning: @footnote should not appear on @deffn line ghdl.texi:21494: warning: @footnote should not appear on @deffn line ghdl.texi:21505: warning: @footnote should not appear on @deffn line ghdl.texi:21505: warning: @footnote should not appear on @deffn line ghdl.texi:21516: warning: @footnote should not appear on @deffn line ghdl.texi:21671: warning: @footnote should not appear on @deffn line ghdl.texi:21671: warning: @footnote should not appear on @deffn line ghdl.texi:21671: warning: @footnote should not appear on @deffn line ghdl.texi:21671: warning: @footnote should not appear on @deffn line ghdl.texi:21683: warning: @footnote should not appear on @deffn line ghdl.texi:21683: warning: @footnote should not appear on @deffn line ghdl.texi:21694: warning: @footnote should not appear on @deffn line ghdl.texi:21694: warning: @footnote should not appear on @deffn line ghdl.texi:21705: warning: @footnote should not appear on @deffn line ghdl.texi:21716: warning: @footnote should not appear on @deffn line ghdl.texi:21716: warning: @footnote should not appear on @deffn line ghdl.texi:21727: warning: @footnote should not appear on @deffn line ghdl.texi:21738: warning: @footnote should not appear on @deffn line ghdl.texi:21738: warning: @footnote should not appear on @deffn line ghdl.texi:21749: warning: @footnote should not appear on @deffn line ghdl.texi:21904: warning: @footnote should not appear on @deffn line ghdl.texi:21904: warning: @footnote should not appear on @deffn line ghdl.texi:21904: warning: @footnote should not appear on @deffn line ghdl.texi:21904: warning: @footnote should not appear on @deffn line ghdl.texi:21916: warning: @footnote should not appear on @deffn line ghdl.texi:21916: warning: @footnote should not appear on @deffn line ghdl.texi:21927: warning: @footnote should not appear on @deffn line ghdl.texi:21927: warning: @footnote should not appear on @deffn line ghdl.texi:21938: warning: @footnote should not appear on @deffn line ghdl.texi:21949: warning: @footnote should not appear on @deffn line ghdl.texi:21949: warning: @footnote should not appear on @deffn line ghdl.texi:21960: warning: @footnote should not appear on @deffn line ghdl.texi:21971: warning: @footnote should not appear on @deffn line ghdl.texi:21971: warning: @footnote should not appear on @deffn line ghdl.texi:21982: warning: @footnote should not appear on @deffn line ghdl.texi:22131: warning: @footnote should not appear on @deffn line ghdl.texi:22142: warning: @footnote should not appear on @deffn line ghdl.texi:22153: warning: @footnote should not appear on @deffn line ghdl.texi:22256: warning: @footnote should not appear on @deffn line ghdl.texi:22464: warning: @footnote should not appear on @deffn line ghdl.texi:22528: warning: @footnote should not appear on @deffn line ghdl.texi:22586: warning: @footnote should not appear on @deffn line ghdl.texi:22662: warning: @footnote should not appear on @deffn line ghdl.texi:22662: warning: @footnote should not appear on @deffn line ghdl.texi:22673: warning: @footnote should not appear on @deffn line ghdl.texi:22673: warning: @footnote should not appear on @deffn line ghdl.texi:22684: warning: @footnote should not appear on @deffn line ghdl.texi:22756: warning: @footnote should not appear on @deffn line ghdl.texi:22825: warning: @footnote should not appear on @deffn line ghdl.texi:22900: warning: @footnote should not appear on @deffn line ghdl.texi:22969: warning: @footnote should not appear on @deffn line ghdl.texi:23050: warning: @footnote should not appear on @deffn line ghdl.texi:23050: warning: @footnote should not appear on @deffn line ghdl.texi:23061: warning: @footnote should not appear on @deffn line ghdl.texi:23061: warning: @footnote should not appear on @deffn line ghdl.texi:23072: warning: @footnote should not appear on @deffn line ghdl.texi:23162: warning: @footnote should not appear on @deffn line ghdl.texi:23162: warning: @footnote should not appear on @deffn line ghdl.texi:23173: warning: @footnote should not appear on @deffn line ghdl.texi:23173: warning: @footnote should not appear on @deffn line ghdl.texi:23184: warning: @footnote should not appear on @deffn line ghdl.texi:23268: warning: @footnote should not appear on @deffn line ghdl.texi:23268: warning: @footnote should not appear on @deffn line ghdl.texi:23279: warning: @footnote should not appear on @deffn line ghdl.texi:23279: warning: @footnote should not appear on @deffn line ghdl.texi:23290: warning: @footnote should not appear on @deffn line ghdl.texi:23374: warning: @footnote should not appear on @deffn line ghdl.texi:23374: warning: @footnote should not appear on @deffn line ghdl.texi:23385: warning: @footnote should not appear on @deffn line ghdl.texi:23385: warning: @footnote should not appear on @deffn line ghdl.texi:23396: warning: @footnote should not appear on @deffn line ghdl.texi:23486: warning: @footnote should not appear on @deffn line ghdl.texi:23486: warning: @footnote should not appear on @deffn line ghdl.texi:23497: warning: @footnote should not appear on @deffn line ghdl.texi:23497: warning: @footnote should not appear on @deffn line ghdl.texi:23508: warning: @footnote should not appear on @deffn line ghdl.texi:23592: warning: @footnote should not appear on @deffn line ghdl.texi:23592: warning: @footnote should not appear on @deffn line ghdl.texi:23603: warning: @footnote should not appear on @deffn line ghdl.texi:23603: warning: @footnote should not appear on @deffn line ghdl.texi:23614: warning: @footnote should not appear on @deffn line ghdl.texi:23686: warning: @footnote should not appear on @deffn line ghdl.texi:23686: warning: @footnote should not appear on @deffn line ghdl.texi:23697: warning: @footnote should not appear on @deffn line ghdl.texi:23697: warning: @footnote should not appear on @deffn line ghdl.texi:23708: warning: @footnote should not appear on @deffn line ghdl.texi:23779: warning: @footnote should not appear on @deffn line ghdl.texi:23779: warning: @footnote should not appear on @deffn line ghdl.texi:23790: warning: @footnote should not appear on @deffn line ghdl.texi:23790: warning: @footnote should not appear on @deffn line ghdl.texi:23801: warning: @footnote should not appear on @deffn line ghdl.texi:23891: warning: @footnote should not appear on @deffn line ghdl.texi:23891: warning: @footnote should not appear on @deffn line ghdl.texi:23902: warning: @footnote should not appear on @deffn line ghdl.texi:23902: warning: @footnote should not appear on @deffn line ghdl.texi:23913: warning: @footnote should not appear on @deffn line ghdl.texi:24059: warning: @footnote should not appear on @deffn line ghdl.texi:24059: warning: @footnote should not appear on @deffn line ghdl.texi:24070: warning: @footnote should not appear on @deffn line ghdl.texi:24081: warning: @footnote should not appear on @deffn line ghdl.texi:24092: warning: @footnote should not appear on @deffn line ghdl.texi:24188: warning: @footnote should not appear on @deffn line ghdl.texi:24188: warning: @footnote should not appear on @deffn line ghdl.texi:24199: warning: @footnote should not appear on @deffn line ghdl.texi:24210: warning: @footnote should not appear on @deffn line ghdl.texi:24221: warning: @footnote should not appear on @deffn line ghdl.texi:25454: warning: @footnote should not appear on @deffn line ghdl.texi:25454: warning: @footnote should not appear on @deffn line ghdl.texi:25465: warning: @footnote should not appear on @deffn line ghdl.texi:25476: warning: @footnote should not appear on @deffn line ghdl.texi:25487: warning: @footnote should not appear on @deffn line ghdl.texi:25572: warning: @footnote should not appear on @deffn line ghdl.texi:25572: warning: @footnote should not appear on @deffn line ghdl.texi:25583: warning: @footnote should not appear on @deffn line ghdl.texi:25594: warning: @footnote should not appear on @deffn line ghdl.texi:25605: warning: @footnote should not appear on @deffn line ghdl.texi:25693: warning: @footnote should not appear on @deffn line ghdl.texi:25693: warning: @footnote should not appear on @deffn line ghdl.texi:25704: warning: @footnote should not appear on @deffn line ghdl.texi:25715: warning: @footnote should not appear on @deffn line ghdl.texi:25726: warning: @footnote should not appear on @deffn line ghdl.texi:25826: warning: @footnote should not appear on @deffn line ghdl.texi:25826: warning: @footnote should not appear on @deffn line ghdl.texi:25837: warning: @footnote should not appear on @deffn line ghdl.texi:25848: warning: @footnote should not appear on @deffn line ghdl.texi:25859: warning: @footnote should not appear on @deffn line ghdl.texi:25950: warning: @footnote should not appear on @deffn line ghdl.texi:25950: warning: @footnote should not appear on @deffn line ghdl.texi:25961: warning: @footnote should not appear on @deffn line ghdl.texi:25972: warning: @footnote should not appear on @deffn line ghdl.texi:25983: warning: @footnote should not appear on @deffn line ghdl.texi:26068: warning: @footnote should not appear on @deffn line ghdl.texi:26068: warning: @footnote should not appear on @deffn line ghdl.texi:26079: warning: @footnote should not appear on @deffn line ghdl.texi:26079: warning: @footnote should not appear on @deffn line ghdl.texi:26090: warning: @footnote should not appear on @deffn line ghdl.texi:26168: warning: @footnote should not appear on @deffn line ghdl.texi:26168: warning: @footnote should not appear on @deffn line ghdl.texi:26179: warning: @footnote should not appear on @deffn line ghdl.texi:26190: warning: @footnote should not appear on @deffn line ghdl.texi:26201: warning: @footnote should not appear on @deffn line ghdl.texi:26286: warning: @footnote should not appear on @deffn line ghdl.texi:26286: warning: @footnote should not appear on @deffn line ghdl.texi:26297: warning: @footnote should not appear on @deffn line ghdl.texi:26308: warning: @footnote should not appear on @deffn line ghdl.texi:26319: warning: @footnote should not appear on @deffn line ghdl.texi:26404: warning: @footnote should not appear on @deffn line ghdl.texi:26404: warning: @footnote should not appear on @deffn line ghdl.texi:26415: warning: @footnote should not appear on @deffn line ghdl.texi:26426: warning: @footnote should not appear on @deffn line ghdl.texi:26437: warning: @footnote should not appear on @deffn line ghdl.texi:26522: warning: @footnote should not appear on @deffn line ghdl.texi:26522: warning: @footnote should not appear on @deffn line ghdl.texi:26533: warning: @footnote should not appear on @deffn line ghdl.texi:26544: warning: @footnote should not appear on @deffn line ghdl.texi:26555: warning: @footnote should not appear on @deffn line ghdl.texi:26640: warning: @footnote should not appear on @deffn line ghdl.texi:26640: warning: @footnote should not appear on @deffn line ghdl.texi:26651: warning: @footnote should not appear on @deffn line ghdl.texi:26662: warning: @footnote should not appear on @deffn line ghdl.texi:26673: warning: @footnote should not appear on @deffn line ghdl.texi:26755: warning: @footnote should not appear on @deffn line ghdl.texi:26755: warning: @footnote should not appear on @deffn line ghdl.texi:26766: warning: @footnote should not appear on @deffn line ghdl.texi:26777: warning: @footnote should not appear on @deffn line ghdl.texi:26788: warning: @footnote should not appear on @deffn line echo timestamp > s-tm-texi powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc \ build/genversion.o -o build/genversion checking for dlfcn.h... powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengenrtl \ build/gengenrtl.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a build/genhooks "Target Hook" \ > tmp-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-target-hooks-def.h \ target-hooks-def.h echo timestamp > s-target-hooks-def-h build/genhooks "Common Target Hook" \ > tmp-common-target-hooks-def.h yes /bin/bash ../../src/gcc/../move-if-change tmp-common-target-hooks-def.h \ common/common-target-hooks-def.h checking for objdir... .libs echo timestamp > s-common-target-hooks-def-h build/genhooks "C Target Hook" \ > tmp-c-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-c-target-hooks-def.h \ c-family/c-target-hooks-def.h echo timestamp > s-c-target-hooks-def-h build/genhooks "D Target Hook" \ > tmp-d-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-d-target-hooks-def.h \ d/d-target-hooks-def.h echo timestamp > s-d-target-hooks-def-h build/genhooks "Rust Target Hook" \ > tmp-rust-target-hooks-def.h /bin/bash ../../src/gcc/../move-if-change tmp-rust-target-hooks-def.h \ rust/rust-target-hooks-def.h echo timestamp > s-rust-target-hooks-def-h powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/rs6000-gen-builtins \ build/rs6000-gen-builtins.o build/rbtree.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a build/genversion > tmp-version.h /bin/bash ../../src/gcc/../move-if-change tmp-version.h version.h echo timestamp > s-version build/gengenrtl > tmp-genrtl.h checking if powerpc64le-linux-gnu-gcc-14 supports -fno-rtti -fno-exceptions... /bin/bash ../../src/gcc/../move-if-change tmp-genrtl.h genrtl.h echo timestamp > s-genrtl-h if [ xinfo = xinfo ]; then \ makeinfo --split-size=5000000 --split-size=5000000 --no-split -I . -I ../../src/gcc/doc \ -I ../../src/gcc/doc/include -o doc/gccint.info ../../src/gcc/doc/gccint.texi; \ fi ./build/rs6000-gen-builtins \ ../../src/gcc/config/rs6000/rs6000-builtins.def \ ../../src/gcc/config/rs6000/rs6000-overload.def rs6000-builtins.h \ rs6000-builtins.cc rs6000-vecdefines.h no checking for powerpc64le-linux-gnu-gcc-14 option to produce PIC... -fPIC -DPIC checking if powerpc64le-linux-gnu-gcc-14 PIC flag -fPIC -DPIC works... powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype.o ../../src/gcc/gengtype.cc yes checking if powerpc64le-linux-gnu-gcc-14 static flag -static works... powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gengtype-state.o ../../src/gcc/gengtype-state.cc yes checking if powerpc64le-linux-gnu-gcc-14 supports -c -o file.o... powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmodes \ build/genmodes.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a yes checking if powerpc64le-linux-gnu-gcc-14 supports -c -o file.o... (cached) yes checking whether the powerpc64le-linux-gnu-gcc-14 linker (powerpc64le-linux-gnu-ld) supports shared libraries... yes build/genmodes -h > tmp-modes.h checking whether -lc should be explicitly linked in... build/genmodes -i > tmp-modes-inline.h /bin/bash ../../src/gcc/../move-if-change tmp-modes-inline.h \ insn-modes-inline.h /bin/bash ../../src/gcc/../move-if-change tmp-modes.h insn-modes.h echo timestamp > s-modes-inline-h build/genmodes -m > tmp-min-modes.cc /bin/bash ../../src/gcc/../move-if-change tmp-min-modes.cc min-insn-modes.cc echo timestamp > s-modes-h build/genmodes > tmp-modes.cc /bin/bash ../../src/gcc/../move-if-change tmp-modes.cc insn-modes.cc echo timestamp > s-modes-m gccint.texi: warning: document without nodes echo timestamp > s-modes powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/inchash.o ../../src/gcc/inchash.cc no checking dynamic linker characteristics... powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genenums.o ../../src/gcc/genenums.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmddeps.o ../../src/gcc/genmddeps.cc GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking whether stripping libraries is possible... yes checking if libtool supports shared libraries... yes checking whether to build shared libraries... yes checking whether to build static libraries... no /bin/bash ../../src/gcc/../move-if-change tmp-optionlist optionlist echo timestamp > s-options powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/read-md.o ../../src/gcc/read-md.cc checking whether we are using the GNU C++ compiler... yes checking whether powerpc64le-linux-gnu-g++-14 accepts -g... yes checking dependency style of powerpc64le-linux-gnu-g++-14... powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconstants.o ../../src/gcc/genconstants.cc gcc3 checking how to run the C++ preprocessor... powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/min-insn-modes.o min-insn-modes.cc gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-save-gen.awk \ -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-save.cc powerpc64le-linux-gnu-g++-14 -E gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/options-urls-cc-gen.awk \ -v header_name="config.h system.h coretypes.h tm.h" < optionlist > options-urls.cc checking for ld used by powerpc64le-linux-gnu-g++-14... powerpc64le-linux-gnu-ld checking if the linker (powerpc64le-linux-gnu-ld) is GNU ld... yes gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/optc-gen.awk \ -v header_name="config.h system.h coretypes.h options.h tm.h" < optionlist > options.cc checking whether the powerpc64le-linux-gnu-g++-14 linker (powerpc64le-linux-gnu-ld) supports shared libraries... yes checking for powerpc64le-linux-gnu-g++-14 option to produce PIC... -fPIC -DPIC checking if powerpc64le-linux-gnu-g++-14 PIC flag -fPIC -DPIC works... yes checking if powerpc64le-linux-gnu-g++-14 static flag -static works... gawk -f ../../src/gcc/opt-functions.awk -f ../../src/gcc/opt-read.awk \ -f ../../src/gcc/opth-gen.awk \ < optionlist > tmp-options.h yes checking if powerpc64le-linux-gnu-g++-14 supports -c -o file.o... yes checking if powerpc64le-linux-gnu-g++-14 supports -c -o file.o... (cached) yes checking whether the powerpc64le-linux-gnu-g++-14 linker (powerpc64le-linux-gnu-ld) supports shared libraries... yes checking dynamic linker characteristics... (cached) GNU/Linux ld.so checking how to hardcode library paths into programs... immediate checking for CET support... no checking whether basename is declared... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -W... yes checking whether powerpc64le-linux-gnu-gcc-14 supports -Wall... yes checking for powerpc64le-linux-gnu-objdump... /usr/bin/powerpc64le-linux-gnu-objdump checking what objdump to use... /usr/bin/powerpc64le-linux-gnu-objdump checking for socket libraries... checking for connect... powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmddeps \ build/genmddeps.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a yes checking for gethostbyname... powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconstants \ build/genconstants.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genenums \ build/genenums.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a yes checking for exported symbols... build/genmddeps ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md > tmp-mddeps /bin/bash ../../src/gcc/../move-if-change tmp-mddeps mddeps.mk echo timestamp > s-mddeps build/genconstants ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ > tmp-constants.h build/genenums ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ > tmp-enums.cc yes checking for -rdynamic... /bin/bash ../../src/gcc/../move-if-change tmp-constants.h insn-constants.h /bin/bash ../../src/gcc/../move-if-change tmp-enums.cc insn-enums.cc echo timestamp > s-constants echo timestamp > s-enums yes checking for library containing dlopen... none required checking for -fPIC -shared... /bin/bash ../../src/gcc/../move-if-change tmp-options.h options.h echo timestamp > s-options-h powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencheck.o ../../src/gcc/gencheck.cc yes checking for socketpair... yes checking for select... yes checking for fork... yes powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencheck \ build/gencheck.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a configure: updating cache ./config.cache checking that generated files are newer than configure... done configure: creating ./config.status build/gencheck > tmp-check.h /bin/bash ../../src/gcc/../move-if-change tmp-check.h tree-check.h echo timestamp > s-check config.status: creating Makefile config.status: creating cc1plugin-config.h config.status: executing depfiles commands config.status: executing libtool commands powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gengtype \ build/gengtype.o build/errors.o build/gengtype-lex.o build/gengtype-parse.o build/gengtype-state.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a build/gengtype \ -S ../../src/gcc -I gtyp-input.list -w tmp-gtype.state /bin/bash ../../src/gcc/../move-if-change tmp-gtype.state gtype.state build/gengtype \ -r gtype.state echo timestamp > s-gtype powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genopinit.o ../../src/gcc/genopinit.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencfn-macros.o ../../src/gcc/gencfn-macros.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattrtab.o ../../src/gcc/genattrtab.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genautomata.o ../../src/gcc/genautomata.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genemit.o ../../src/gcc/genemit.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genextract.o ../../src/gcc/genextract.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genoutput.o ../../src/gcc/genoutput.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genpeep.o ../../src/gcc/genpeep.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genrecog.o ../../src/gcc/genrecog.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genpreds.o ../../src/gcc/genpreds.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/rtl.o ../../src/gcc/rtl.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/read-rtl.o ../../src/gcc/read-rtl.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/ggc-none.o ../../src/gcc/ggc-none.cc ghdl.texi:606: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text ghdl.texi:57244: warning: could not find @image file `GHDL-figures/internals.txt' nor alternate text powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/vec.o ../../src/gcc/vec.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gensupport.o ../../src/gcc/gensupport.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/print-rtl.o ../../src/gcc/print-rtl.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/hash-table.o ../../src/gcc/hash-table.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genflags.o ../../src/gcc/genflags.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconditions.o ../../src/gcc/genconditions.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattr.o ../../src/gcc/genattr.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genattr-common.o ../../src/gcc/genattr-common.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencodes.o ../../src/gcc/gencodes.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genconfig.o ../../src/gcc/genconfig.cc powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gentarget-def.o ../../src/gcc/gentarget-def.cc powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencfn-macros \ build/gencfn-macros.o build/errors.o build/hash-table.o build/vec.o build/ggc-none.o build/sort.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a build/gencfn-macros -c \ > tmp-case-cfn-macros.h /bin/bash ../../src/gcc/../move-if-change tmp-case-cfn-macros.h \ case-cfn-macros.h build/gencfn-macros -o \ > tmp-cfn-operators.pd echo timestamp > s-case-cfn-macros /bin/bash ../../src/gcc/../move-if-change tmp-cfn-operators.pd \ cfn-operators.pd echo timestamp > s-cfn-operators powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/genmatch.o ../../src/gcc/genmatch.cc powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genpreds \ build/genpreds.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genflags \ build/genflags.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconditions \ build/genconditions.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattr \ build/genattr.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattr-common \ build/genattr-common.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencodes \ build/gencodes.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genconfig \ build/genconfig.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gentarget-def \ build/gentarget-def.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genopinit \ build/genopinit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genattrtab \ build/genattrtab.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genemit \ build/genemit.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genextract \ build/genextract.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genoutput \ build/genoutput.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genpeep \ build/genpeep.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a build/genpreds ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md > tmp-preds.cc build/genpreds -h ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md > tmp-preds.h build/genconditions ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md > tmp-condmd.cc build/genpreds -c ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md > tmp-constrs.h /bin/bash ../../src/gcc/../move-if-change tmp-preds.cc insn-preds.cc echo timestamp > s-preds powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genrecog \ build/genrecog.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o build/inchash.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a /bin/bash ../../src/gcc/../move-if-change tmp-preds.h tm-preds.h /bin/bash ../../src/gcc/../move-if-change tmp-constrs.h tm-constrs.h /bin/bash ../../src/gcc/../move-if-change tmp-condmd.cc build/gencondmd.cc echo timestamp > s-preds-h echo timestamp > s-conditions echo timestamp > s-constrs-h powerpc64le-linux-gnu-g++-14 -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -I. -Ibuild -I../../src/gcc -I../../src/gcc/build -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 \ -o build/gencondmd.o build/gencondmd.cc powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genautomata \ build/genautomata.o build/rtl.o build/read-rtl.o build/ggc-none.o build/vec.o build/min-insn-modes.o build/gensupport.o build/print-rtl.o build/hash-table.o build/sort.o build/read-md.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a -lm powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/gencondmd \ build/gencondmd.o build/errors.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a build/gencondmd > tmp-cond.md /bin/bash ../../src/gcc/../move-if-change tmp-cond.md insn-conditions.md echo timestamp > s-condmd build/genflags ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-flags.h build/genattr ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-attr.h build/genattr-common ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-attr-common.h build/gencodes ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-codes.h build/genconfig ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-config.h build/gentarget-def ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-target-def.h build/genopinit ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md -htmp-opinit.h -ctmp-opinit.cc /bin/bash ../../src/gcc/../move-if-change tmp-attr-common.h insn-attr-common.h echo timestamp > s-attr-common build/genattrtab ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md insn-conditions.md \ -Atmp-attrtab.cc -Dtmp-dfatab.cc -Ltmp-latencytab.cc /bin/bash ../../src/gcc/../move-if-change tmp-attr.h insn-attr.h /bin/bash ../../src/gcc/../move-if-change tmp-config.h insn-config.h echo timestamp > s-attr /bin/bash ../../src/gcc/../move-if-change tmp-flags.h insn-flags.h echo timestamp > s-config build/genautomata ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-automata.cc /bin/bash ../../src/gcc/../move-if-change tmp-target-def.h insn-target-def.h echo timestamp > s-flags build/genemit ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md insn-conditions.md \ -Otmp-emit-1.cc -Otmp-emit-2.cc -Otmp-emit-3.cc -Otmp-emit-4.cc -Otmp-emit-5.cc -Otmp-emit-6.cc -Otmp-emit-7.cc -Otmp-emit-8.cc -Otmp-emit-9.cc -Otmp-emit-10.cc /bin/bash ../../src/gcc/../move-if-change tmp-codes.h insn-codes.h /bin/bash ../../src/gcc/../move-if-change tmp-opinit.h insn-opinit.h echo timestamp > s-target-def build/genextract ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-extract.cc echo timestamp > s-codes build/genoutput ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-output.cc build/genpeep ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-peep.cc /bin/bash ../../src/gcc/../move-if-change tmp-opinit.cc insn-opinit.cc echo timestamp > s-opinit build/genrecog ../../src/gcc/common.md ../../src/gcc/config/rs6000/rs6000.md \ insn-conditions.md > tmp-recog.cc /bin/bash ../../src/gcc/../move-if-change tmp-emit-1.cc insn-emit-1.cc; /bin/bash ../../src/gcc/../move-if-change tmp-emit-2.cc insn-emit-2.cc; /bin/bash ../../src/gcc/../move-if-change tmp-emit-3.cc insn-emit-3.cc; /bin/bash ../../src/gcc/../move-if-change tmp-emit-4.cc insn-emit-4.cc; /bin/bash ../../src/gcc/../move-if-change tmp-emit-5.cc insn-emit-5.cc; /bin/bash ../../src/gcc/../move-if-change tmp-emit-6.cc insn-emit-6.cc; /bin/bash ../../src/gcc/../move-if-change tmp-emit-7.cc insn-emit-7.cc; /bin/bash ../../src/gcc/../move-if-change tmp-emit-8.cc insn-emit-8.cc; /bin/bash ../../src/gcc/../move-if-change tmp-emit-9.cc insn-emit-9.cc; /bin/bash ../../src/gcc/../move-if-change tmp-emit-10.cc insn-emit-10.cc; /bin/bash ../../src/gcc/../move-if-change tmp-extract.cc insn-extract.cc echo timestamp > s-extract /bin/bash ../../src/gcc/../move-if-change tmp-peep.cc insn-peep.cc echo timestamp > s-peep echo timestamp > s-tmp-emit /bin/bash ../../src/gcc/../move-if-change tmp-output.cc insn-output.cc echo timestamp > s-output Statistics for recog: Number of decisions: 30665 longest path: 192 (code: 186) longest backtrack: 33 (code: 2055) Statistics for split_insns: Number of decisions: 3942 longest path: 65 (code: 716) longest backtrack: 20 (code: 714) Statistics for peephole2_insns: Number of decisions: 298 longest path: 45 (code: 13) longest backtrack: 6 (code: 33) Shared 26613 out of 48578 states by creating 4249 new states, saving 22364 /bin/bash ../../src/gcc/../move-if-change tmp-recog.cc insn-recog.cc echo timestamp > s-recog /bin/bash ../../src/gcc/../move-if-change tmp-attrtab.cc insn-attrtab.cc /bin/bash ../../src/gcc/../move-if-change tmp-dfatab.cc insn-dfatab.cc /bin/bash ../../src/gcc/../move-if-change tmp-latencytab.cc insn-latencytab.cc echo timestamp > s-attrtab powerpc64le-linux-gnu-g++-14 -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -DGENERATOR_FILE -static-libstdc++ -static-libgcc -o build/genmatch \ build/genmatch.o ../build-powerpc64le-linux-gnu/libcpp/libcpp.a build/errors.o build/vec.o build/hash-table.o build/sort.o ../build-powerpc64le-linux-gnu/libiberty/libiberty.a build/genmatch --gimple \ --header=tmp-gimple-match-auto.h --include=gimple-match-auto.h \ ../../src/gcc/match.pd tmp-gimple-match-1.cc tmp-gimple-match-2.cc tmp-gimple-match-3.cc tmp-gimple-match-4.cc tmp-gimple-match-5.cc tmp-gimple-match-6.cc tmp-gimple-match-7.cc tmp-gimple-match-8.cc tmp-gimple-match-9.cc tmp-gimple-match-10.cc build/genmatch --generic \ --header=tmp-generic-match-auto.h --include=generic-match-auto.h \ ../../src/gcc/match.pd tmp-generic-match-1.cc tmp-generic-match-2.cc tmp-generic-match-3.cc tmp-generic-match-4.cc tmp-generic-match-5.cc tmp-generic-match-6.cc tmp-generic-match-7.cc tmp-generic-match-8.cc tmp-generic-match-9.cc tmp-generic-match-10.cc GENERIC decision tree has 5516 leafs, maximum depth 18 and a total number of 24229 nodes GIMPLE decision tree has 7036 leafs, maximum depth 28 and a total number of 30715 nodes removed 3981 duplicate tails removed 5292 duplicate tails /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-1.cc generic-match-1.cc; /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-2.cc generic-match-2.cc; /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-3.cc generic-match-3.cc; /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-4.cc generic-match-4.cc; /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-5.cc generic-match-5.cc; /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-6.cc generic-match-6.cc; /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-7.cc generic-match-7.cc; /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-8.cc generic-match-8.cc; /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-9.cc generic-match-9.cc; /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-10.cc generic-match-10.cc; /bin/bash ../../src/gcc/../move-if-change tmp-generic-match-auto.h \ generic-match-auto.h /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-1.cc gimple-match-1.cc; /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-2.cc gimple-match-2.cc; /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-3.cc gimple-match-3.cc; /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-4.cc gimple-match-4.cc; /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-5.cc gimple-match-5.cc; /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-6.cc gimple-match-6.cc; /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-7.cc gimple-match-7.cc; /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-8.cc gimple-match-8.cc; /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-9.cc gimple-match-9.cc; /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-10.cc gimple-match-10.cc; echo timestamp > s-generic-match /bin/bash ../../src/gcc/../move-if-change tmp-gimple-match-auto.h \ gimple-match-auto.h echo timestamp > s-gimple-match powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-lang.o -MT c/c-lang.o -MMD -MP -MF c/.deps/c-lang.TPo ../../src/gcc/c/c-lang.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/stub-objc.o -MT c-family/stub-objc.o -MMD -MP -MF c-family/.deps/stub-objc.TPo ../../src/gcc/c-family/stub-objc.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o attribs.o -MT attribs.o -MMD -MP -MF ./.deps/attribs.TPo ../../src/gcc/attribs.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-errors.o -MT c/c-errors.o -MMD -MP -MF c/.deps/c-errors.TPo ../../src/gcc/c/c-errors.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-decl.o -MT c/c-decl.o -MMD -MP -MF c/.deps/c-decl.TPo ../../src/gcc/c/c-decl.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-typeck.o -MT c/c-typeck.o -MMD -MP -MF c/.deps/c-typeck.TPo ../../src/gcc/c/c-typeck.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-convert.o -MT c/c-convert.o -MMD -MP -MF c/.deps/c-convert.TPo ../../src/gcc/c/c-convert.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-aux-info.o -MT c/c-aux-info.o -MMD -MP -MF c/.deps/c-aux-info.TPo ../../src/gcc/c/c-aux-info.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-objc-common.o -MT c/c-objc-common.o -MMD -MP -MF c/.deps/c-objc-common.TPo ../../src/gcc/c/c-objc-common.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-parser.o -MT c/c-parser.o -MMD -MP -MF c/.deps/c-parser.TPo ../../src/gcc/c/c-parser.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/c-fold.o -MT c/c-fold.o -MMD -MP -MF c/.deps/c-fold.TPo ../../src/gcc/c/c-fold.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gimple-parser.o -MT c/gimple-parser.o -MMD -MP -MF c/.deps/gimple-parser.TPo ../../src/gcc/c/gimple-parser.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-common.o -MT c-family/c-common.o -MMD -MP -MF c-family/.deps/c-common.TPo ../../src/gcc/c-family/c-common.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-cppbuiltin.o -MT c-family/c-cppbuiltin.o -MMD -MP -MF c-family/.deps/c-cppbuiltin.TPo ../../src/gcc/c-family/c-cppbuiltin.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-dump.o -MT c-family/c-dump.o -MMD -MP -MF c-family/.deps/c-dump.TPo ../../src/gcc/c-family/c-dump.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-format.o -MT c-family/c-format.o -MMD -MP -MF c-family/.deps/c-format.TPo ../../src/gcc/c-family/c-format.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-gimplify.o -MT c-family/c-gimplify.o -MMD -MP -MF c-family/.deps/c-gimplify.TPo ../../src/gcc/c-family/c-gimplify.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-indentation.o -MT c-family/c-indentation.o -MMD -MP -MF c-family/.deps/c-indentation.TPo ../../src/gcc/c-family/c-indentation.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-lex.o -MT c-family/c-lex.o -MMD -MP -MF c-family/.deps/c-lex.TPo ../../src/gcc/c-family/c-lex.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-omp.o -MT c-family/c-omp.o -MMD -MP -MF c-family/.deps/c-omp.TPo ../../src/gcc/c-family/c-omp.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-opts.o -MT c-family/c-opts.o -MMD -MP -MF c-family/.deps/c-opts.TPo ../../src/gcc/c-family/c-opts.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -DHOST_MACHINE=\"powerpc64le-unknown-linux-gnu\" -DTARGET_MACHINE=\"powerpc64le-unknown-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pch.o -MT c-family/c-pch.o -MMD -MP -MF c-family/.deps/c-pch.TPo ../../src/gcc/c-family/c-pch.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ppoutput.o -MT c-family/c-ppoutput.o -MMD -MP -MF c-family/.deps/c-ppoutput.TPo ../../src/gcc/c-family/c-ppoutput.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pragma.o -MT c-family/c-pragma.o -MMD -MP -MF c-family/.deps/c-pragma.TPo ../../src/gcc/c-family/c-pragma.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-pretty-print.o -MT c-family/c-pretty-print.o -MMD -MP -MF c-family/.deps/c-pretty-print.TPo ../../src/gcc/c-family/c-pretty-print.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-semantics.o -MT c-family/c-semantics.o -MMD -MP -MF c-family/.deps/c-semantics.TPo ../../src/gcc/c-family/c-semantics.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ada-spec.o -MT c-family/c-ada-spec.o -MMD -MP -MF c-family/.deps/c-ada-spec.TPo ../../src/gcc/c-family/c-ada-spec.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-ubsan.o -MT c-family/c-ubsan.o -MMD -MP -MF c-family/.deps/c-ubsan.TPo ../../src/gcc/c-family/c-ubsan.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/known-headers.o -MT c-family/known-headers.o -MMD -MP -MF c-family/.deps/known-headers.TPo ../../src/gcc/c-family/known-headers.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-attribs.o -MT c-family/c-attribs.o -MMD -MP -MF c-family/.deps/c-attribs.TPo ../../src/gcc/c-family/c-attribs.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-warn.o -MT c-family/c-warn.o -MMD -MP -MF c-family/.deps/c-warn.TPo ../../src/gcc/c-family/c-warn.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/c-spellcheck.o -MT c-family/c-spellcheck.o -MMD -MP -MF c-family/.deps/c-spellcheck.TPo ../../src/gcc/c-family/c-spellcheck.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o glibc-c.o -MT glibc-c.o -MMD -MP -MF ./.deps/glibc-c.TPo ../../src/gcc/config/glibc-c.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-c.o -MT rs6000-c.o -MMD -MP -MF ./.deps/rs6000-c.TPo ../../src/gcc/config/rs6000/rs6000-c.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-1.o -MT gimple-match-1.o -MMD -MP -MF ./.deps/gimple-match-1.TPo gimple-match-1.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-2.o -MT gimple-match-2.o -MMD -MP -MF ./.deps/gimple-match-2.TPo gimple-match-2.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-3.o -MT gimple-match-3.o -MMD -MP -MF ./.deps/gimple-match-3.TPo gimple-match-3.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-4.o -MT gimple-match-4.o -MMD -MP -MF ./.deps/gimple-match-4.TPo gimple-match-4.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-5.o -MT gimple-match-5.o -MMD -MP -MF ./.deps/gimple-match-5.TPo gimple-match-5.cc /bin/bash ../../src/gcc/../move-if-change tmp-automata.cc insn-automata.cc echo timestamp > s-automata powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-6.o -MT gimple-match-6.o -MMD -MP -MF ./.deps/gimple-match-6.TPo gimple-match-6.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-7.o -MT gimple-match-7.o -MMD -MP -MF ./.deps/gimple-match-7.TPo gimple-match-7.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-8.o -MT gimple-match-8.o -MMD -MP -MF ./.deps/gimple-match-8.TPo gimple-match-8.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-9.o -MT gimple-match-9.o -MMD -MP -MF ./.deps/gimple-match-9.TPo gimple-match-9.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-10.o -MT gimple-match-10.o -MMD -MP -MF ./.deps/gimple-match-10.TPo gimple-match-10.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-unused -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-match-exports.o -MT gimple-match-exports.o -MMD -MP -MF ./.deps/gimple-match-exports.TPo ../../src/gcc/gimple-match-exports.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match-1.o -MT generic-match-1.o -MMD -MP -MF ./.deps/generic-match-1.TPo generic-match-1.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match-2.o -MT generic-match-2.o -MMD -MP -MF ./.deps/generic-match-2.TPo generic-match-2.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match-3.o -MT generic-match-3.o -MMD -MP -MF ./.deps/generic-match-3.TPo generic-match-3.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match-4.o -MT generic-match-4.o -MMD -MP -MF ./.deps/generic-match-4.TPo generic-match-4.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match-5.o -MT generic-match-5.o -MMD -MP -MF ./.deps/generic-match-5.TPo generic-match-5.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match-6.o -MT generic-match-6.o -MMD -MP -MF ./.deps/generic-match-6.TPo generic-match-6.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match-7.o -MT generic-match-7.o -MMD -MP -MF ./.deps/generic-match-7.TPo generic-match-7.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match-8.o -MT generic-match-8.o -MMD -MP -MF ./.deps/generic-match-8.TPo generic-match-8.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match-9.o -MT generic-match-9.o -MMD -MP -MF ./.deps/generic-match-9.TPo generic-match-9.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o generic-match-10.o -MT generic-match-10.o -MMD -MP -MF ./.deps/generic-match-10.TPo generic-match-10.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-attrtab.o -MT insn-attrtab.o -MMD -MP -MF ./.deps/insn-attrtab.TPo insn-attrtab.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-dfatab.o -MT insn-dfatab.o -MMD -MP -MF ./.deps/insn-dfatab.TPo insn-dfatab.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit-1.o -MT insn-emit-1.o -MMD -MP -MF ./.deps/insn-emit-1.TPo insn-emit-1.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit-2.o -MT insn-emit-2.o -MMD -MP -MF ./.deps/insn-emit-2.TPo insn-emit-2.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit-3.o -MT insn-emit-3.o -MMD -MP -MF ./.deps/insn-emit-3.TPo insn-emit-3.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit-4.o -MT insn-emit-4.o -MMD -MP -MF ./.deps/insn-emit-4.TPo insn-emit-4.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit-5.o -MT insn-emit-5.o -MMD -MP -MF ./.deps/insn-emit-5.TPo insn-emit-5.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit-6.o -MT insn-emit-6.o -MMD -MP -MF ./.deps/insn-emit-6.TPo insn-emit-6.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit-7.o -MT insn-emit-7.o -MMD -MP -MF ./.deps/insn-emit-7.TPo insn-emit-7.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit-8.o -MT insn-emit-8.o -MMD -MP -MF ./.deps/insn-emit-8.TPo insn-emit-8.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit-9.o -MT insn-emit-9.o -MMD -MP -MF ./.deps/insn-emit-9.TPo insn-emit-9.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-emit-10.o -MT insn-emit-10.o -MMD -MP -MF ./.deps/insn-emit-10.TPo insn-emit-10.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-extract.o -MT insn-extract.o -MMD -MP -MF ./.deps/insn-extract.TPo insn-extract.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-latencytab.o -MT insn-latencytab.o -MMD -MP -MF ./.deps/insn-latencytab.TPo insn-latencytab.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-modes.o -MT insn-modes.o -MMD -MP -MF ./.deps/insn-modes.TPo insn-modes.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-opinit.o -MT insn-opinit.o -MMD -MP -MF ./.deps/insn-opinit.TPo insn-opinit.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-output.o -MT insn-output.o -MMD -MP -MF ./.deps/insn-output.TPo insn-output.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-peep.o -MT insn-peep.o -MMD -MP -MF ./.deps/insn-peep.TPo insn-peep.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-preds.o -MT insn-preds.o -MMD -MP -MF ./.deps/insn-preds.TPo insn-preds.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-recog.o -MT insn-recog.o -MMD -MP -MF ./.deps/insn-recog.TPo insn-recog.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-enums.o -MT insn-enums.o -MMD -MP -MF ./.deps/insn-enums.TPo insn-enums.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-page.o -MT ggc-page.o -MMD -MP -MF ./.deps/ggc-page.TPo ../../src/gcc/ggc-page.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o adjust-alignment.o -MT adjust-alignment.o -MMD -MP -MF ./.deps/adjust-alignment.TPo ../../src/gcc/adjust-alignment.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o alias.o -MT alias.o -MMD -MP -MF ./.deps/alias.TPo ../../src/gcc/alias.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o alloc-pool.o -MT alloc-pool.o -MMD -MP -MF ./.deps/alloc-pool.TPo ../../src/gcc/alloc-pool.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-inc-dec.o -MT auto-inc-dec.o -MMD -MP -MF ./.deps/auto-inc-dec.TPo ../../src/gcc/auto-inc-dec.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o auto-profile.o -MT auto-profile.o -MMD -MP -MF ./.deps/auto-profile.TPo ../../src/gcc/auto-profile.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o bb-reorder.o -MT bb-reorder.o -MMD -MP -MF ./.deps/bb-reorder.TPo ../../src/gcc/bb-reorder.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o bitmap.o -MT bitmap.o -MMD -MP -MF ./.deps/bitmap.TPo ../../src/gcc/bitmap.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o builtins.o -MT builtins.o -MMD -MP -MF ./.deps/builtins.TPo ../../src/gcc/builtins.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o caller-save.o -MT caller-save.o -MMD -MP -MF ./.deps/caller-save.TPo ../../src/gcc/caller-save.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o calls.o -MT calls.o -MMD -MP -MF ./.deps/calls.TPo ../../src/gcc/calls.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ccmp.o -MT ccmp.o -MMD -MP -MF ./.deps/ccmp.TPo ../../src/gcc/ccmp.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfg.o -MT cfg.o -MMD -MP -MF ./.deps/cfg.TPo ../../src/gcc/cfg.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfganal.o -MT cfganal.o -MMD -MP -MF ./.deps/cfganal.TPo ../../src/gcc/cfganal.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgbuild.o -MT cfgbuild.o -MMD -MP -MF ./.deps/cfgbuild.TPo ../../src/gcc/cfgbuild.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgcleanup.o -MT cfgcleanup.o -MMD -MP -MF ./.deps/cfgcleanup.TPo ../../src/gcc/cfgcleanup.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgexpand.o -MT cfgexpand.o -MMD -MP -MF ./.deps/cfgexpand.TPo ../../src/gcc/cfgexpand.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfghooks.o -MT cfghooks.o -MMD -MP -MF ./.deps/cfghooks.TPo ../../src/gcc/cfghooks.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloop.o -MT cfgloop.o -MMD -MP -MF ./.deps/cfgloop.TPo ../../src/gcc/cfgloop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopanal.o -MT cfgloopanal.o -MMD -MP -MF ./.deps/cfgloopanal.TPo ../../src/gcc/cfgloopanal.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgloopmanip.o -MT cfgloopmanip.o -MMD -MP -MF ./.deps/cfgloopmanip.TPo ../../src/gcc/cfgloopmanip.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cfgrtl.o -MT cfgrtl.o -MMD -MP -MF ./.deps/cfgrtl.TPo ../../src/gcc/cfgrtl.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ctfc.o -MT ctfc.o -MMD -MP -MF ./.deps/ctfc.TPo ../../src/gcc/ctfc.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ctfout.o -MT ctfout.o -MMD -MP -MF ./.deps/ctfout.TPo ../../src/gcc/ctfout.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o btfout.o -MT btfout.o -MMD -MP -MF ./.deps/btfout.TPo ../../src/gcc/btfout.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab.o -MT symtab.o -MMD -MP -MF ./.deps/symtab.TPo ../../src/gcc/symtab.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab-thunks.o -MT symtab-thunks.o -MMD -MP -MF ./.deps/symtab-thunks.TPo ../../src/gcc/symtab-thunks.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o symtab-clones.o -MT symtab-clones.o -MMD -MP -MF ./.deps/symtab-clones.TPo ../../src/gcc/symtab-clones.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraph.o -MT cgraph.o -MMD -MP -MF ./.deps/cgraph.TPo ../../src/gcc/cgraph.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphbuild.o -MT cgraphbuild.o -MMD -MP -MF ./.deps/cgraphbuild.TPo ../../src/gcc/cgraphbuild.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphunit.o -MT cgraphunit.o -MMD -MP -MF ./.deps/cgraphunit.TPo ../../src/gcc/cgraphunit.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cgraphclones.o -MT cgraphclones.o -MMD -MP -MF ./.deps/cgraphclones.TPo ../../src/gcc/cgraphclones.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o combine.o -MT combine.o -MMD -MP -MF ./.deps/combine.TPo ../../src/gcc/combine.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o combine-stack-adj.o -MT combine-stack-adj.o -MMD -MP -MF ./.deps/combine-stack-adj.TPo ../../src/gcc/combine-stack-adj.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o compare-elim.o -MT compare-elim.o -MMD -MP -MF ./.deps/compare-elim.TPo ../../src/gcc/compare-elim.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o context.o -MT context.o -MMD -MP -MF ./.deps/context.TPo ../../src/gcc/context.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o convert.o -MT convert.o -MMD -MP -MF ./.deps/convert.TPo ../../src/gcc/convert.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o coroutine-passes.o -MT coroutine-passes.o -MMD -MP -MF ./.deps/coroutine-passes.TPo ../../src/gcc/coroutine-passes.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o coverage.o -MT coverage.o -MMD -MP -MF ./.deps/coverage.TPo ../../src/gcc/coverage.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../include/c++/14\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../include/powerpc64-linux-gnu/c++/14\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../include/c++/14/backward\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../include/c++/v1\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR_ADD_SYSROOT=0 -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../powerpc64le-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../powerpc64le-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DBASEVER="\"14.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cppbuiltin.o -MT cppbuiltin.o -MMD -MP -MF ./.deps/cppbuiltin.TPo ../../src/gcc/cppbuiltin.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DGCC_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include\" -DFIXED_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed\" -DGPLUSPLUS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../include/c++/14\" -DGPLUSPLUS_INCLUDE_DIR_ADD_SYSROOT=0 -DGPLUSPLUS_TOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../include/powerpc64-linux-gnu/c++/14\" -DGPLUSPLUS_BACKWARD_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../include/c++/14/backward\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../include/c++/v1\" -DGPLUSPLUS_LIBCXX_INCLUDE_DIR_ADD_SYSROOT=0 -DLOCAL_INCLUDE_DIR=\"/usr/local/include\" -DCROSS_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../powerpc64le-linux-gnu/sys-include\" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../powerpc64le-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DPREFIX=\"/usr/lib/ghdl/gcc/\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cppdefault.o -MT cppdefault.o -MMD -MP -MF ./.deps/cppdefault.TPo ../../src/gcc/cppdefault.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cprop.o -MT cprop.o -MMD -MP -MF ./.deps/cprop.TPo ../../src/gcc/cprop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cse.o -MT cse.o -MMD -MP -MF ./.deps/cse.TPo ../../src/gcc/cse.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cselib.o -MT cselib.o -MMD -MP -MF ./.deps/cselib.TPo ../../src/gcc/cselib.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer.o -MT data-streamer.o -MMD -MP -MF ./.deps/data-streamer.TPo ../../src/gcc/data-streamer.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-in.o -MT data-streamer-in.o -MMD -MP -MF ./.deps/data-streamer-in.TPo ../../src/gcc/data-streamer-in.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o data-streamer-out.o -MT data-streamer-out.o -MMD -MP -MF ./.deps/data-streamer-out.TPo ../../src/gcc/data-streamer-out.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dbgcnt.o -MT dbgcnt.o -MMD -MP -MF ./.deps/dbgcnt.TPo ../../src/gcc/dbgcnt.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dce.o -MT dce.o -MMD -MP -MF ./.deps/dce.TPo ../../src/gcc/dce.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ddg.o -MT ddg.o -MMD -MP -MF ./.deps/ddg.TPo ../../src/gcc/ddg.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o debug.o -MT debug.o -MMD -MP -MF ./.deps/debug.TPo ../../src/gcc/debug.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-core.o -MT df-core.o -MMD -MP -MF ./.deps/df-core.TPo ../../src/gcc/df-core.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-problems.o -MT df-problems.o -MMD -MP -MF ./.deps/df-problems.TPo ../../src/gcc/df-problems.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o df-scan.o -MT df-scan.o -MMD -MP -MF ./.deps/df-scan.TPo ../../src/gcc/df-scan.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-strict-aliasing -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dfp.o -MT dfp.o -MMD -MP -MF ./.deps/dfp.TPo ../../src/gcc/dfp.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o digraph.o -MT digraph.o -MMD -MP -MF ./.deps/digraph.TPo ../../src/gcc/digraph.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dojump.o -MT dojump.o -MMD -MP -MF ./.deps/dojump.TPo ../../src/gcc/dojump.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dominance.o -MT dominance.o -MMD -MP -MF ./.deps/dominance.TPo ../../src/gcc/dominance.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o domwalk.o -MT domwalk.o -MMD -MP -MF ./.deps/domwalk.TPo ../../src/gcc/domwalk.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o double-int.o -MT double-int.o -MMD -MP -MF ./.deps/double-int.TPo ../../src/gcc/double-int.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dse.o -MT dse.o -MMD -MP -MF ./.deps/dse.TPo ../../src/gcc/dse.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dumpfile.o -MT dumpfile.o -MMD -MP -MF ./.deps/dumpfile.TPo ../../src/gcc/dumpfile.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2asm.o -MT dwarf2asm.o -MMD -MP -MF ./.deps/dwarf2asm.TPo ../../src/gcc/dwarf2asm.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2cfi.o -MT dwarf2cfi.o -MMD -MP -MF ./.deps/dwarf2cfi.TPo ../../src/gcc/dwarf2cfi.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2ctf.o -MT dwarf2ctf.o -MMD -MP -MF ./.deps/dwarf2ctf.TPo ../../src/gcc/dwarf2ctf.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o dwarf2out.o -MT dwarf2out.o -MMD -MP -MF ./.deps/dwarf2out.TPo ../../src/gcc/dwarf2out.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o early-remat.o -MT early-remat.o -MMD -MP -MF ./.deps/early-remat.TPo ../../src/gcc/early-remat.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o emit-rtl.o -MT emit-rtl.o -MMD -MP -MF ./.deps/emit-rtl.TPo ../../src/gcc/emit-rtl.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o et-forest.o -MT et-forest.o -MMD -MP -MF ./.deps/et-forest.TPo ../../src/gcc/et-forest.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o except.o -MT except.o -MMD -MP -MF ./.deps/except.TPo ../../src/gcc/except.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o explow.o -MT explow.o -MMD -MP -MF ./.deps/explow.TPo ../../src/gcc/explow.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o expmed.o -MT expmed.o -MMD -MP -MF ./.deps/expmed.TPo ../../src/gcc/expmed.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o expr.o -MT expr.o -MMD -MP -MF ./.deps/expr.TPo ../../src/gcc/expr.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fibonacci_heap.o -MT fibonacci_heap.o -MMD -MP -MF ./.deps/fibonacci_heap.TPo ../../src/gcc/fibonacci_heap.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o file-prefix-map.o -MT file-prefix-map.o -MMD -MP -MF ./.deps/file-prefix-map.TPo ../../src/gcc/file-prefix-map.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o final.o -MT final.o -MMD -MP -MF ./.deps/final.TPo ../../src/gcc/final.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fixed-value.o -MT fixed-value.o -MMD -MP -MF ./.deps/fixed-value.TPo ../../src/gcc/fixed-value.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const.o -MT fold-const.o -MMD -MP -MF ./.deps/fold-const.TPo ../../src/gcc/fold-const.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-const-call.o -MT fold-const-call.o -MMD -MP -MF ./.deps/fold-const-call.TPo ../../src/gcc/fold-const-call.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fold-mem-offsets.o -MT fold-mem-offsets.o -MMD -MP -MF ./.deps/fold-mem-offsets.TPo ../../src/gcc/fold-mem-offsets.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function.o -MT function.o -MMD -MP -MF ./.deps/function.TPo ../../src/gcc/function.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function-abi.o -MT function-abi.o -MMD -MP -MF ./.deps/function-abi.TPo ../../src/gcc/function-abi.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o function-tests.o -MT function-tests.o -MMD -MP -MF ./.deps/function-tests.TPo ../../src/gcc/function-tests.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o fwprop.o -MT fwprop.o -MMD -MP -MF ./.deps/fwprop.TPo ../../src/gcc/fwprop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-rich-location.o -MT gcc-rich-location.o -MMD -MP -MF ./.deps/gcc-rich-location.TPo ../../src/gcc/gcc-rich-location.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-urlifier.o -MT gcc-urlifier.o -MMD -MP -MF ./.deps/gcc-urlifier.TPo ../../src/gcc/gcc-urlifier.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse.o -MT gcse.o -MMD -MP -MF ./.deps/gcse.TPo ../../src/gcc/gcse.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcse-common.o -MT gcse-common.o -MMD -MP -MF ./.deps/gcse-common.TPo ../../src/gcc/gcse-common.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-common.o -MT ggc-common.o -MMD -MP -MF ./.deps/ggc-common.TPo ../../src/gcc/ggc-common.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-tests.o -MT ggc-tests.o -MMD -MP -MF ./.deps/ggc-tests.TPo ../../src/gcc/ggc-tests.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple.o -MT gimple.o -MMD -MP -MF ./.deps/gimple.TPo ../../src/gcc/gimple.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-array-bounds.o -MT gimple-array-bounds.o -MMD -MP -MF ./.deps/gimple-array-bounds.TPo ../../src/gcc/gimple-array-bounds.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-builder.o -MT gimple-builder.o -MMD -MP -MF ./.deps/gimple-builder.TPo ../../src/gcc/gimple-builder.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-expr.o -MT gimple-expr.o -MMD -MP -MF ./.deps/gimple-expr.TPo ../../src/gcc/gimple-expr.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-if-to-switch.o -MT gimple-if-to-switch.o -MMD -MP -MF ./.deps/gimple-if-to-switch.TPo ../../src/gcc/gimple-if-to-switch.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-iterator.o -MT gimple-iterator.o -MMD -MP -MF ./.deps/gimple-iterator.TPo ../../src/gcc/gimple-iterator.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-fold.o -MT gimple-fold.o -MMD -MP -MF ./.deps/gimple-fold.TPo ../../src/gcc/gimple-fold.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-harden-conditionals.o -MT gimple-harden-conditionals.o -MMD -MP -MF ./.deps/gimple-harden-conditionals.TPo ../../src/gcc/gimple-harden-conditionals.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-harden-control-flow.o -MT gimple-harden-control-flow.o -MMD -MP -MF ./.deps/gimple-harden-control-flow.TPo ../../src/gcc/gimple-harden-control-flow.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-laddress.o -MT gimple-laddress.o -MMD -MP -MF ./.deps/gimple-laddress.TPo ../../src/gcc/gimple-laddress.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-interchange.o -MT gimple-loop-interchange.o -MMD -MP -MF ./.deps/gimple-loop-interchange.TPo ../../src/gcc/gimple-loop-interchange.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-jam.o -MT gimple-loop-jam.o -MMD -MP -MF ./.deps/gimple-loop-jam.TPo ../../src/gcc/gimple-loop-jam.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-loop-versioning.o -MT gimple-loop-versioning.o -MMD -MP -MF ./.deps/gimple-loop-versioning.TPo ../../src/gcc/gimple-loop-versioning.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-low.o -MT gimple-low.o -MMD -MP -MF ./.deps/gimple-low.TPo ../../src/gcc/gimple-low.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-lower-bitint.o -MT gimple-lower-bitint.o -MMD -MP -MF ./.deps/gimple-lower-bitint.TPo ../../src/gcc/gimple-lower-bitint.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-predicate-analysis.o -MT gimple-predicate-analysis.o -MMD -MP -MF ./.deps/gimple-predicate-analysis.TPo ../../src/gcc/gimple-predicate-analysis.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-pretty-print.o -MT gimple-pretty-print.o -MMD -MP -MF ./.deps/gimple-pretty-print.TPo ../../src/gcc/gimple-pretty-print.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range.o -MT gimple-range.o -MMD -MP -MF ./.deps/gimple-range.TPo ../../src/gcc/gimple-range.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-cache.o -MT gimple-range-cache.o -MMD -MP -MF ./.deps/gimple-range-cache.TPo ../../src/gcc/gimple-range-cache.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-edge.o -MT gimple-range-edge.o -MMD -MP -MF ./.deps/gimple-range-edge.TPo ../../src/gcc/gimple-range-edge.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-fold.o -MT gimple-range-fold.o -MMD -MP -MF ./.deps/gimple-range-fold.TPo ../../src/gcc/gimple-range-fold.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-gori.o -MT gimple-range-gori.o -MMD -MP -MF ./.deps/gimple-range-gori.TPo ../../src/gcc/gimple-range-gori.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-infer.o -MT gimple-range-infer.o -MMD -MP -MF ./.deps/gimple-range-infer.TPo ../../src/gcc/gimple-range-infer.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-op.o -MT gimple-range-op.o -MMD -MP -MF ./.deps/gimple-range-op.TPo ../../src/gcc/gimple-range-op.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-phi.o -MT gimple-range-phi.o -MMD -MP -MF ./.deps/gimple-range-phi.TPo ../../src/gcc/gimple-range-phi.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-trace.o -MT gimple-range-trace.o -MMD -MP -MF ./.deps/gimple-range-trace.TPo ../../src/gcc/gimple-range-trace.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-backprop.o -MT gimple-ssa-backprop.o -MMD -MP -MF ./.deps/gimple-ssa-backprop.TPo ../../src/gcc/gimple-ssa-backprop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-isolate-paths.o -MT gimple-ssa-isolate-paths.o -MMD -MP -MF ./.deps/gimple-ssa-isolate-paths.TPo ../../src/gcc/gimple-ssa-isolate-paths.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-nonnull-compare.o -MT gimple-ssa-nonnull-compare.o -MMD -MP -MF ./.deps/gimple-ssa-nonnull-compare.TPo ../../src/gcc/gimple-ssa-nonnull-compare.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-sccopy.o -MT gimple-ssa-sccopy.o -MMD -MP -MF ./.deps/gimple-ssa-sccopy.TPo ../../src/gcc/gimple-ssa-sccopy.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-split-paths.o -MT gimple-ssa-split-paths.o -MMD -MP -MF ./.deps/gimple-ssa-split-paths.TPo ../../src/gcc/gimple-ssa-split-paths.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-store-merging.o -MT gimple-ssa-store-merging.o -MMD -MP -MF ./.deps/gimple-ssa-store-merging.TPo ../../src/gcc/gimple-ssa-store-merging.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-strength-reduction.o -MT gimple-ssa-strength-reduction.o -MMD -MP -MF ./.deps/gimple-ssa-strength-reduction.TPo ../../src/gcc/gimple-ssa-strength-reduction.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-sprintf.o -MT gimple-ssa-sprintf.o -MMD -MP -MF ./.deps/gimple-ssa-sprintf.TPo ../../src/gcc/gimple-ssa-sprintf.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-access.o -MT gimple-ssa-warn-access.o -MMD -MP -MF ./.deps/gimple-ssa-warn-access.TPo ../../src/gcc/gimple-ssa-warn-access.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-alloca.o -MT gimple-ssa-warn-alloca.o -MMD -MP -MF ./.deps/gimple-ssa-warn-alloca.TPo ../../src/gcc/gimple-ssa-warn-alloca.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-ssa-warn-restrict.o -MT gimple-ssa-warn-restrict.o -MMD -MP -MF ./.deps/gimple-ssa-warn-restrict.TPo ../../src/gcc/gimple-ssa-warn-restrict.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-in.o -MT gimple-streamer-in.o -MMD -MP -MF ./.deps/gimple-streamer-in.TPo ../../src/gcc/gimple-streamer-in.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-streamer-out.o -MT gimple-streamer-out.o -MMD -MP -MF ./.deps/gimple-streamer-out.TPo ../../src/gcc/gimple-streamer-out.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-walk.o -MT gimple-walk.o -MMD -MP -MF ./.deps/gimple-walk.TPo ../../src/gcc/gimple-walk.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-warn-recursion.o -MT gimple-warn-recursion.o -MMD -MP -MF ./.deps/gimple-warn-recursion.TPo ../../src/gcc/gimple-warn-recursion.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify.o -MT gimplify.o -MMD -MP -MF ./.deps/gimplify.TPo ../../src/gcc/gimplify.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimplify-me.o -MT gimplify-me.o -MMD -MP -MF ./.deps/gimplify-me.TPo ../../src/gcc/gimplify-me.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o godump.o -MT godump.o -MMD -MP -MF ./.deps/godump.TPo ../../src/gcc/godump.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graph.o -MT graph.o -MMD -MP -MF ./.deps/graph.TPo ../../src/gcc/graph.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphds.o -MT graphds.o -MMD -MP -MF ./.deps/graphds.TPo ../../src/gcc/graphds.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphviz.o -MT graphviz.o -MMD -MP -MF ./.deps/graphviz.TPo ../../src/gcc/graphviz.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite.o -MT graphite.o -MMD -MP -MF ./.deps/graphite.TPo ../../src/gcc/graphite.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-isl-ast-to-gimple.o -MT graphite-isl-ast-to-gimple.o -MMD -MP -MF ./.deps/graphite-isl-ast-to-gimple.TPo ../../src/gcc/graphite-isl-ast-to-gimple.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-dependences.o -MT graphite-dependences.o -MMD -MP -MF ./.deps/graphite-dependences.TPo ../../src/gcc/graphite-dependences.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-optimize-isl.o -MT graphite-optimize-isl.o -MMD -MP -MF ./.deps/graphite-optimize-isl.TPo ../../src/gcc/graphite-optimize-isl.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-poly.o -MT graphite-poly.o -MMD -MP -MF ./.deps/graphite-poly.TPo ../../src/gcc/graphite-poly.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-scop-detection.o -MT graphite-scop-detection.o -MMD -MP -MF ./.deps/graphite-scop-detection.TPo ../../src/gcc/graphite-scop-detection.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o graphite-sese-to-poly.o -MT graphite-sese-to-poly.o -MMD -MP -MF ./.deps/graphite-sese-to-poly.TPo ../../src/gcc/graphite-sese-to-poly.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gtype-desc.o -MT gtype-desc.o -MMD -MP -MF ./.deps/gtype-desc.TPo gtype-desc.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o haifa-sched.o -MT haifa-sched.o -MMD -MP -MF ./.deps/haifa-sched.TPo ../../src/gcc/haifa-sched.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-map-tests.o -MT hash-map-tests.o -MMD -MP -MF ./.deps/hash-map-tests.TPo ../../src/gcc/hash-map-tests.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-set-tests.o -MT hash-set-tests.o -MMD -MP -MF ./.deps/hash-set-tests.TPo ../../src/gcc/hash-set-tests.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hw-doloop.o -MT hw-doloop.o -MMD -MP -MF ./.deps/hw-doloop.TPo ../../src/gcc/hw-doloop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hwint.o -MT hwint.o -MMD -MP -MF ./.deps/hwint.TPo ../../src/gcc/hwint.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ifcvt.o -MT ifcvt.o -MMD -MP -MF ./.deps/ifcvt.TPo ../../src/gcc/ifcvt.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ree.o -MT ree.o -MMD -MP -MF ./.deps/ree.TPo ../../src/gcc/ree.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o inchash.o -MT inchash.o -MMD -MP -MF ./.deps/inchash.TPo ../../src/gcc/inchash.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o incpath.o -MT incpath.o -MMD -MP -MF ./.deps/incpath.TPo ../../src/gcc/incpath.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o init-regs.o -MT init-regs.o -MMD -MP -MF ./.deps/init-regs.TPo ../../src/gcc/init-regs.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o internal-fn.o -MT internal-fn.o -MMD -MP -MF ./.deps/internal-fn.TPo ../../src/gcc/internal-fn.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-cp.o -MT ipa-cp.o -MMD -MP -MF ./.deps/ipa-cp.TPo ../../src/gcc/ipa-cp.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-sra.o -MT ipa-sra.o -MMD -MP -MF ./.deps/ipa-sra.TPo ../../src/gcc/ipa-sra.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-devirt.o -MT ipa-devirt.o -MMD -MP -MF ./.deps/ipa-devirt.TPo ../../src/gcc/ipa-devirt.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-fnsummary.o -MT ipa-fnsummary.o -MMD -MP -MF ./.deps/ipa-fnsummary.TPo ../../src/gcc/ipa-fnsummary.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-polymorphic-call.o -MT ipa-polymorphic-call.o -MMD -MP -MF ./.deps/ipa-polymorphic-call.TPo ../../src/gcc/ipa-polymorphic-call.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-split.o -MT ipa-split.o -MMD -MP -MF ./.deps/ipa-split.TPo ../../src/gcc/ipa-split.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline.o -MT ipa-inline.o -MMD -MP -MF ./.deps/ipa-inline.TPo ../../src/gcc/ipa-inline.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-comdats.o -MT ipa-comdats.o -MMD -MP -MF ./.deps/ipa-comdats.TPo ../../src/gcc/ipa-comdats.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-free-lang-data.o -MT ipa-free-lang-data.o -MMD -MP -MF ./.deps/ipa-free-lang-data.TPo ../../src/gcc/ipa-free-lang-data.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-visibility.o -MT ipa-visibility.o -MMD -MP -MF ./.deps/ipa-visibility.TPo ../../src/gcc/ipa-visibility.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-analysis.o -MT ipa-inline-analysis.o -MMD -MP -MF ./.deps/ipa-inline-analysis.TPo ../../src/gcc/ipa-inline-analysis.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-inline-transform.o -MT ipa-inline-transform.o -MMD -MP -MF ./.deps/ipa-inline-transform.TPo ../../src/gcc/ipa-inline-transform.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-modref.o -MT ipa-modref.o -MMD -MP -MF ./.deps/ipa-modref.TPo ../../src/gcc/ipa-modref.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-modref-tree.o -MT ipa-modref-tree.o -MMD -MP -MF ./.deps/ipa-modref-tree.TPo ../../src/gcc/ipa-modref-tree.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-predicate.o -MT ipa-predicate.o -MMD -MP -MF ./.deps/ipa-predicate.TPo ../../src/gcc/ipa-predicate.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-profile.o -MT ipa-profile.o -MMD -MP -MF ./.deps/ipa-profile.TPo ../../src/gcc/ipa-profile.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-prop.o -MT ipa-prop.o -MMD -MP -MF ./.deps/ipa-prop.TPo ../../src/gcc/ipa-prop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-param-manipulation.o -MT ipa-param-manipulation.o -MMD -MP -MF ./.deps/ipa-param-manipulation.TPo ../../src/gcc/ipa-param-manipulation.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-pure-const.o -MT ipa-pure-const.o -MMD -MP -MF ./.deps/ipa-pure-const.TPo ../../src/gcc/ipa-pure-const.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf.o -MT ipa-icf.o -MMD -MP -MF ./.deps/ipa-icf.TPo ../../src/gcc/ipa-icf.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-icf-gimple.o -MT ipa-icf-gimple.o -MMD -MP -MF ./.deps/ipa-icf-gimple.TPo ../../src/gcc/ipa-icf-gimple.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-reference.o -MT ipa-reference.o -MMD -MP -MF ./.deps/ipa-reference.TPo ../../src/gcc/ipa-reference.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-ref.o -MT ipa-ref.o -MMD -MP -MF ./.deps/ipa-ref.TPo ../../src/gcc/ipa-ref.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-utils.o -MT ipa-utils.o -MMD -MP -MF ./.deps/ipa-utils.TPo ../../src/gcc/ipa-utils.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa-strub.o -MT ipa-strub.o -MMD -MP -MF ./.deps/ipa-strub.TPo ../../src/gcc/ipa-strub.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ipa.o -MT ipa.o -MMD -MP -MF ./.deps/ipa.TPo ../../src/gcc/ipa.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira.o -MT ira.o -MMD -MP -MF ./.deps/ira.TPo ../../src/gcc/ira.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-build.o -MT ira-build.o -MMD -MP -MF ./.deps/ira-build.TPo ../../src/gcc/ira-build.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-costs.o -MT ira-costs.o -MMD -MP -MF ./.deps/ira-costs.TPo ../../src/gcc/ira-costs.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-conflicts.o -MT ira-conflicts.o -MMD -MP -MF ./.deps/ira-conflicts.TPo ../../src/gcc/ira-conflicts.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-color.o -MT ira-color.o -MMD -MP -MF ./.deps/ira-color.TPo ../../src/gcc/ira-color.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-emit.o -MT ira-emit.o -MMD -MP -MF ./.deps/ira-emit.TPo ../../src/gcc/ira-emit.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ira-lives.o -MT ira-lives.o -MMD -MP -MF ./.deps/ira-lives.TPo ../../src/gcc/ira-lives.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o jump.o -MT jump.o -MMD -MP -MF ./.deps/jump.TPo ../../src/gcc/jump.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o langhooks.o -MT langhooks.o -MMD -MP -MF ./.deps/langhooks.TPo ../../src/gcc/langhooks.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lcm.o -MT lcm.o -MMD -MP -MF ./.deps/lcm.TPo ../../src/gcc/lcm.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lists.o -MT lists.o -MMD -MP -MF ./.deps/lists.TPo ../../src/gcc/lists.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-doloop.o -MT loop-doloop.o -MMD -MP -MF ./.deps/loop-doloop.TPo ../../src/gcc/loop-doloop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-init.o -MT loop-init.o -MMD -MP -MF ./.deps/loop-init.TPo ../../src/gcc/loop-init.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-invariant.o -MT loop-invariant.o -MMD -MP -MF ./.deps/loop-invariant.TPo ../../src/gcc/loop-invariant.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-iv.o -MT loop-iv.o -MMD -MP -MF ./.deps/loop-iv.TPo ../../src/gcc/loop-iv.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o loop-unroll.o -MT loop-unroll.o -MMD -MP -MF ./.deps/loop-unroll.TPo ../../src/gcc/loop-unroll.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lower-subreg.o -MT lower-subreg.o -MMD -MP -MF ./.deps/lower-subreg.TPo ../../src/gcc/lower-subreg.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra.o -MT lra.o -MMD -MP -MF ./.deps/lra.TPo ../../src/gcc/lra.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-assigns.o -MT lra-assigns.o -MMD -MP -MF ./.deps/lra-assigns.TPo ../../src/gcc/lra-assigns.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-coalesce.o -MT lra-coalesce.o -MMD -MP -MF ./.deps/lra-coalesce.TPo ../../src/gcc/lra-coalesce.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-constraints.o -MT lra-constraints.o -MMD -MP -MF ./.deps/lra-constraints.TPo ../../src/gcc/lra-constraints.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-eliminations.o -MT lra-eliminations.o -MMD -MP -MF ./.deps/lra-eliminations.TPo ../../src/gcc/lra-eliminations.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-lives.o -MT lra-lives.o -MMD -MP -MF ./.deps/lra-lives.TPo ../../src/gcc/lra-lives.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-remat.o -MT lra-remat.o -MMD -MP -MF ./.deps/lra-remat.TPo ../../src/gcc/lra-remat.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lra-spills.o -MT lra-spills.o -MMD -MP -MF ./.deps/lra-spills.TPo ../../src/gcc/lra-spills.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-cgraph.o -MT lto-cgraph.o -MMD -MP -MF ./.deps/lto-cgraph.TPo ../../src/gcc/lto-cgraph.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer.o -MT lto-streamer.o -MMD -MP -MF ./.deps/lto-streamer.TPo ../../src/gcc/lto-streamer.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DTARGET_MACHINE=\"powerpc64le-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-in.o -MT lto-streamer-in.o -MMD -MP -MF ./.deps/lto-streamer-in.TPo ../../src/gcc/lto-streamer-in.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-streamer-out.o -MT lto-streamer-out.o -MMD -MP -MF ./.deps/lto-streamer-out.TPo ../../src/gcc/lto-streamer-out.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-in.o -MT lto-section-in.o -MMD -MP -MF ./.deps/lto-section-in.TPo ../../src/gcc/lto-section-in.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-section-out.o -MT lto-section-out.o -MMD -MP -MF ./.deps/lto-section-out.TPo ../../src/gcc/lto-section-out.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-opts.o -MT lto-opts.o -MMD -MP -MF ./.deps/lto-opts.TPo ../../src/gcc/lto-opts.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-compress.o -MT lto-compress.o -MMD -MP -MF ./.deps/lto-compress.TPo ../../src/gcc/lto-compress.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o mcf.o -MT mcf.o -MMD -MP -MF ./.deps/mcf.TPo ../../src/gcc/mcf.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o mode-switching.o -MT mode-switching.o -MMD -MP -MF ./.deps/mode-switching.TPo ../../src/gcc/mode-switching.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o modulo-sched.o -MT modulo-sched.o -MMD -MP -MF ./.deps/modulo-sched.TPo ../../src/gcc/modulo-sched.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o multiple_target.o -MT multiple_target.o -MMD -MP -MF ./.deps/multiple_target.TPo ../../src/gcc/multiple_target.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-offload.o -MT omp-offload.o -MMD -MP -MF ./.deps/omp-offload.TPo ../../src/gcc/omp-offload.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-expand.o -MT omp-expand.o -MMD -MP -MF ./.deps/omp-expand.TPo ../../src/gcc/omp-expand.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-general.o -MT omp-general.o -MMD -MP -MF ./.deps/omp-general.TPo ../../src/gcc/omp-general.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-low.o -MT omp-low.o -MMD -MP -MF ./.deps/omp-low.TPo ../../src/gcc/omp-low.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-oacc-kernels-decompose.o -MT omp-oacc-kernels-decompose.o -MMD -MP -MF ./.deps/omp-oacc-kernels-decompose.TPo ../../src/gcc/omp-oacc-kernels-decompose.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-oacc-neuter-broadcast.o -MT omp-oacc-neuter-broadcast.o -MMD -MP -MF ./.deps/omp-oacc-neuter-broadcast.TPo ../../src/gcc/omp-oacc-neuter-broadcast.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o omp-simd-clone.o -MT omp-simd-clone.o -MMD -MP -MF ./.deps/omp-simd-clone.TPo ../../src/gcc/omp-simd-clone.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-problem.o -MT opt-problem.o -MMD -MP -MF ./.deps/opt-problem.TPo ../../src/gcc/opt-problem.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs.o -MT optabs.o -MMD -MP -MF ./.deps/optabs.TPo ../../src/gcc/optabs.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-libfuncs.o -MT optabs-libfuncs.o -MMD -MP -MF ./.deps/optabs-libfuncs.TPo ../../src/gcc/optabs-libfuncs.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-query.o -MT optabs-query.o -MMD -MP -MF ./.deps/optabs-query.TPo ../../src/gcc/optabs-query.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optabs-tree.o -MT optabs-tree.o -MMD -MP -MF ./.deps/optabs-tree.TPo ../../src/gcc/optabs-tree.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo.o -MT optinfo.o -MMD -MP -MF ./.deps/optinfo.TPo ../../src/gcc/optinfo.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DTARGET_NAME=\"powerpc64le-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o optinfo-emit-json.o -MT optinfo-emit-json.o -MMD -MP -MF ./.deps/optinfo-emit-json.TPo ../../src/gcc/optinfo-emit-json.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o options-save.o -MT options-save.o -MMD -MP -MF ./.deps/options-save.TPo options-save.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o options-urls.o -MT options-urls.o -MMD -MP -MF ./.deps/options-urls.TPo options-urls.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-global.o -MT opts-global.o -MMD -MP -MF ./.deps/opts-global.TPo ../../src/gcc/opts-global.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ordered-hash-map-tests.o -MT ordered-hash-map-tests.o -MMD -MP -MF ./.deps/ordered-hash-map-tests.TPo ../../src/gcc/ordered-hash-map-tests.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o passes.o -MT passes.o -MMD -MP -MF ./.deps/passes.TPo ../../src/gcc/passes.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o plugin.o -MT plugin.o -MMD -MP -MF ./.deps/plugin.TPo ../../src/gcc/plugin.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o pointer-query.o -MT pointer-query.o -MMD -MP -MF ./.deps/pointer-query.TPo ../../src/gcc/pointer-query.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload-gcse.o -MT postreload-gcse.o -MMD -MP -MF ./.deps/postreload-gcse.TPo ../../src/gcc/postreload-gcse.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o postreload.o -MT postreload.o -MMD -MP -MF ./.deps/postreload.TPo ../../src/gcc/postreload.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o predict.o -MT predict.o -MMD -MP -MF ./.deps/predict.TPo ../../src/gcc/predict.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl.o -MT print-rtl.o -MMD -MP -MF ./.deps/print-rtl.TPo ../../src/gcc/print-rtl.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-rtl-function.o -MT print-rtl-function.o -MMD -MP -MF ./.deps/print-rtl-function.TPo ../../src/gcc/print-rtl-function.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o print-tree.o -MT print-tree.o -MMD -MP -MF ./.deps/print-tree.TPo ../../src/gcc/print-tree.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o profile.o -MT profile.o -MMD -MP -MF ./.deps/profile.TPo ../../src/gcc/profile.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o profile-count.o -MT profile-count.o -MMD -MP -MF ./.deps/profile-count.TPo ../../src/gcc/profile-count.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o range.o -MT range.o -MMD -MP -MF ./.deps/range.TPo ../../src/gcc/range.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o range-op.o -MT range-op.o -MMD -MP -MF ./.deps/range-op.TPo ../../src/gcc/range-op.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o range-op-float.o -MT range-op-float.o -MMD -MP -MF ./.deps/range-op-float.TPo ../../src/gcc/range-op-float.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o range-op-ptr.o -MT range-op-ptr.o -MMD -MP -MF ./.deps/range-op-ptr.TPo ../../src/gcc/range-op-ptr.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-md.o -MT read-md.o -MMD -MP -MF ./.deps/read-md.TPo ../../src/gcc/read-md.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl.o -MT read-rtl.o -MMD -MP -MF ./.deps/read-rtl.TPo ../../src/gcc/read-rtl.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o read-rtl-function.o -MT read-rtl-function.o -MMD -MP -MF ./.deps/read-rtl-function.TPo ../../src/gcc/read-rtl-function.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o real.o -MT real.o -MMD -MP -MF ./.deps/real.TPo ../../src/gcc/real.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o realmpfr.o -MT realmpfr.o -MMD -MP -MF ./.deps/realmpfr.TPo ../../src/gcc/realmpfr.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o recog.o -MT recog.o -MMD -MP -MF ./.deps/recog.TPo ../../src/gcc/recog.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reg-stack.o -MT reg-stack.o -MMD -MP -MF ./.deps/reg-stack.TPo ../../src/gcc/reg-stack.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regcprop.o -MT regcprop.o -MMD -MP -MF ./.deps/regcprop.TPo ../../src/gcc/regcprop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reginfo.o -MT reginfo.o -MMD -MP -MF ./.deps/reginfo.TPo ../../src/gcc/reginfo.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regrename.o -MT regrename.o -MMD -MP -MF ./.deps/regrename.TPo ../../src/gcc/regrename.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o regstat.o -MT regstat.o -MMD -MP -MF ./.deps/regstat.TPo ../../src/gcc/regstat.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reload.o -MT reload.o -MMD -MP -MF ./.deps/reload.TPo ../../src/gcc/reload.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reload1.o -MT reload1.o -MMD -MP -MF ./.deps/reload1.TPo ../../src/gcc/reload1.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o reorg.o -MT reorg.o -MMD -MP -MF ./.deps/reorg.TPo ../../src/gcc/reorg.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o resource.o -MT resource.o -MMD -MP -MF ./.deps/resource.TPo ../../src/gcc/resource.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-error.o -MT rtl-error.o -MMD -MP -MF ./.deps/rtl-error.TPo ../../src/gcc/rtl-error.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/accesses.o -MT rtl-ssa/accesses.o -MMD -MP -MF rtl-ssa/.deps/accesses.TPo ../../src/gcc/rtl-ssa/accesses.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/blocks.o -MT rtl-ssa/blocks.o -MMD -MP -MF rtl-ssa/.deps/blocks.TPo ../../src/gcc/rtl-ssa/blocks.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/changes.o -MT rtl-ssa/changes.o -MMD -MP -MF rtl-ssa/.deps/changes.TPo ../../src/gcc/rtl-ssa/changes.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/functions.o -MT rtl-ssa/functions.o -MMD -MP -MF rtl-ssa/.deps/functions.TPo ../../src/gcc/rtl-ssa/functions.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/insns.o -MT rtl-ssa/insns.o -MMD -MP -MF rtl-ssa/.deps/insns.TPo ../../src/gcc/rtl-ssa/insns.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Irtl-ssa -I../../src/gcc -I../../src/gcc/rtl-ssa -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-ssa/movement.o -MT rtl-ssa/movement.o -MMD -MP -MF rtl-ssa/.deps/movement.TPo ../../src/gcc/rtl-ssa/movement.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl-tests.o -MT rtl-tests.o -MMD -MP -MF ./.deps/rtl-tests.TPo ../../src/gcc/rtl-tests.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtl.o -MT rtl.o -MMD -MP -MF ./.deps/rtl.TPo ../../src/gcc/rtl.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhash.o -MT rtlhash.o -MMD -MP -MF ./.deps/rtlhash.TPo ../../src/gcc/rtlhash.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlanal.o -MT rtlanal.o -MMD -MP -MF ./.deps/rtlanal.TPo ../../src/gcc/rtlanal.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtlhooks.o -MT rtlhooks.o -MMD -MP -MF ./.deps/rtlhooks.TPo ../../src/gcc/rtlhooks.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rtx-vector-builder.o -MT rtx-vector-builder.o -MMD -MP -MF ./.deps/rtx-vector-builder.TPo ../../src/gcc/rtx-vector-builder.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o run-rtl-passes.o -MT run-rtl-passes.o -MMD -MP -MF ./.deps/run-rtl-passes.TPo ../../src/gcc/run-rtl-passes.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-deps.o -MT sched-deps.o -MMD -MP -MF ./.deps/sched-deps.TPo ../../src/gcc/sched-deps.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-ebb.o -MT sched-ebb.o -MMD -MP -MF ./.deps/sched-ebb.TPo ../../src/gcc/sched-ebb.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sched-rgn.o -MT sched-rgn.o -MMD -MP -MF ./.deps/sched-rgn.TPo ../../src/gcc/sched-rgn.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-ir.o -MT sel-sched-ir.o -MMD -MP -MF ./.deps/sel-sched-ir.TPo ../../src/gcc/sel-sched-ir.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched-dump.o -MT sel-sched-dump.o -MMD -MP -MF ./.deps/sel-sched-dump.TPo ../../src/gcc/sel-sched-dump.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sel-sched.o -MT sel-sched.o -MMD -MP -MF ./.deps/sel-sched.TPo ../../src/gcc/sel-sched.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-rtl.o -MT selftest-rtl.o -MMD -MP -MF ./.deps/selftest-rtl.TPo ../../src/gcc/selftest-rtl.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-run-tests.o -MT selftest-run-tests.o -MMD -MP -MF ./.deps/selftest-run-tests.TPo ../../src/gcc/selftest-run-tests.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sese.o -MT sese.o -MMD -MP -MF ./.deps/sese.TPo ../../src/gcc/sese.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o shrink-wrap.o -MT shrink-wrap.o -MMD -MP -MF ./.deps/shrink-wrap.TPo ../../src/gcc/shrink-wrap.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o simplify-rtx.o -MT simplify-rtx.o -MMD -MP -MF ./.deps/simplify-rtx.TPo ../../src/gcc/simplify-rtx.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sparseset.o -MT sparseset.o -MMD -MP -MF ./.deps/sparseset.TPo ../../src/gcc/sparseset.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck.o -MT spellcheck.o -MMD -MP -MF ./.deps/spellcheck.TPo ../../src/gcc/spellcheck.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o spellcheck-tree.o -MT spellcheck-tree.o -MMD -MP -MF ./.deps/spellcheck-tree.TPo ../../src/gcc/spellcheck-tree.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o splay-tree-utils.o -MT splay-tree-utils.o -MMD -MP -MF ./.deps/splay-tree-utils.TPo ../../src/gcc/splay-tree-utils.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sreal.o -MT sreal.o -MMD -MP -MF ./.deps/sreal.TPo ../../src/gcc/sreal.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stack-ptr-mod.o -MT stack-ptr-mod.o -MMD -MP -MF ./.deps/stack-ptr-mod.TPo ../../src/gcc/stack-ptr-mod.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o statistics.o -MT statistics.o -MMD -MP -MF ./.deps/statistics.TPo ../../src/gcc/statistics.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stmt.o -MT stmt.o -MMD -MP -MF ./.deps/stmt.TPo ../../src/gcc/stmt.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stor-layout.o -MT stor-layout.o -MMD -MP -MF ./.deps/stor-layout.TPo ../../src/gcc/stor-layout.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o store-motion.o -MT store-motion.o -MMD -MP -MF ./.deps/store-motion.TPo ../../src/gcc/store-motion.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o streamer-hooks.o -MT streamer-hooks.o -MMD -MP -MF ./.deps/streamer-hooks.TPo ../../src/gcc/streamer-hooks.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o stringpool.o -MT stringpool.o -MMD -MP -MF ./.deps/stringpool.TPo ../../src/gcc/stringpool.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o substring-locations.o -MT substring-locations.o -MMD -MP -MF ./.deps/substring-locations.TPo ../../src/gcc/substring-locations.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o target-globals.o -MT target-globals.o -MMD -MP -MF ./.deps/target-globals.TPo ../../src/gcc/target-globals.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o targhooks.o -MT targhooks.o -MMD -MP -MF ./.deps/targhooks.TPo ../../src/gcc/targhooks.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o timevar.o -MT timevar.o -MMD -MP -MF ./.deps/timevar.TPo ../../src/gcc/timevar.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DTARGET_NAME=\"powerpc64le-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o toplev.o -MT toplev.o -MMD -MP -MF ./.deps/toplev.TPo ../../src/gcc/toplev.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tracer.o -MT tracer.o -MMD -MP -MF ./.deps/tracer.TPo ../../src/gcc/tracer.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o trans-mem.o -MT trans-mem.o -MMD -MP -MF ./.deps/trans-mem.TPo ../../src/gcc/trans-mem.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-affine.o -MT tree-affine.o -MMD -MP -MF ./.deps/tree-affine.TPo ../../src/gcc/tree-affine.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o asan.o -MT asan.o -MMD -MP -MF ./.deps/asan.TPo ../../src/gcc/asan.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tsan.o -MT tsan.o -MMD -MP -MF ./.deps/tsan.TPo ../../src/gcc/tsan.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ubsan.o -MT ubsan.o -MMD -MP -MF ./.deps/ubsan.TPo ../../src/gcc/ubsan.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sanopt.o -MT sanopt.o -MMD -MP -MF ./.deps/sanopt.TPo ../../src/gcc/sanopt.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sancov.o -MT sancov.o -MMD -MP -MF ./.deps/sancov.TPo ../../src/gcc/sancov.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-assume.o -MT tree-assume.o -MMD -MP -MF ./.deps/tree-assume.TPo ../../src/gcc/tree-assume.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-call-cdce.o -MT tree-call-cdce.o -MMD -MP -MF ./.deps/tree-call-cdce.TPo ../../src/gcc/tree-call-cdce.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfg.o -MT tree-cfg.o -MMD -MP -MF ./.deps/tree-cfg.TPo ../../src/gcc/tree-cfg.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-cfgcleanup.o -MT tree-cfgcleanup.o -MMD -MP -MF ./.deps/tree-cfgcleanup.TPo ../../src/gcc/tree-cfgcleanup.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-chrec.o -MT tree-chrec.o -MMD -MP -MF ./.deps/tree-chrec.TPo ../../src/gcc/tree-chrec.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-complex.o -MT tree-complex.o -MMD -MP -MF ./.deps/tree-complex.TPo ../../src/gcc/tree-complex.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-data-ref.o -MT tree-data-ref.o -MMD -MP -MF ./.deps/tree-data-ref.TPo ../../src/gcc/tree-data-ref.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dfa.o -MT tree-dfa.o -MMD -MP -MF ./.deps/tree-dfa.TPo ../../src/gcc/tree-dfa.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic.o -MT tree-diagnostic.o -MMD -MP -MF ./.deps/tree-diagnostic.TPo ../../src/gcc/tree-diagnostic.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DTARGET_NAME=\"powerpc64le-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic-client-data-hooks.o -MT tree-diagnostic-client-data-hooks.o -MMD -MP -MF ./.deps/tree-diagnostic-client-data-hooks.TPo ../../src/gcc/tree-diagnostic-client-data-hooks.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-diagnostic-path.o -MT tree-diagnostic-path.o -MMD -MP -MF ./.deps/tree-diagnostic-path.TPo ../../src/gcc/tree-diagnostic-path.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-dump.o -MT tree-dump.o -MMD -MP -MF ./.deps/tree-dump.TPo ../../src/gcc/tree-dump.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-eh.o -MT tree-eh.o -MMD -MP -MF ./.deps/tree-eh.TPo ../../src/gcc/tree-eh.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-emutls.o -MT tree-emutls.o -MMD -MP -MF ./.deps/tree-emutls.TPo ../../src/gcc/tree-emutls.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-if-conv.o -MT tree-if-conv.o -MMD -MP -MF ./.deps/tree-if-conv.TPo ../../src/gcc/tree-if-conv.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-inline.o -MT tree-inline.o -MMD -MP -MF ./.deps/tree-inline.TPo ../../src/gcc/tree-inline.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-into-ssa.o -MT tree-into-ssa.o -MMD -MP -MF ./.deps/tree-into-ssa.TPo ../../src/gcc/tree-into-ssa.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-iterator.o -MT tree-iterator.o -MMD -MP -MF ./.deps/tree-iterator.TPo ../../src/gcc/tree-iterator.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-logical-location.o -MT tree-logical-location.o -MMD -MP -MF ./.deps/tree-logical-location.TPo ../../src/gcc/tree-logical-location.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-loop-distribution.o -MT tree-loop-distribution.o -MMD -MP -MF ./.deps/tree-loop-distribution.TPo ../../src/gcc/tree-loop-distribution.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nested.o -MT tree-nested.o -MMD -MP -MF ./.deps/tree-nested.TPo ../../src/gcc/tree-nested.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-nrv.o -MT tree-nrv.o -MMD -MP -MF ./.deps/tree-nrv.TPo ../../src/gcc/tree-nrv.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-object-size.o -MT tree-object-size.o -MMD -MP -MF ./.deps/tree-object-size.TPo ../../src/gcc/tree-object-size.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-outof-ssa.o -MT tree-outof-ssa.o -MMD -MP -MF ./.deps/tree-outof-ssa.TPo ../../src/gcc/tree-outof-ssa.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-parloops.o -MT tree-parloops.o -MMD -MP -MF ./.deps/tree-parloops.TPo ../../src/gcc/tree-parloops.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-phinodes.o -MT tree-phinodes.o -MMD -MP -MF ./.deps/tree-phinodes.TPo ../../src/gcc/tree-phinodes.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-predcom.o -MT tree-predcom.o -MMD -MP -MF ./.deps/tree-predcom.TPo ../../src/gcc/tree-predcom.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-pretty-print.o -MT tree-pretty-print.o -MMD -MP -MF ./.deps/tree-pretty-print.TPo ../../src/gcc/tree-pretty-print.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-profile.o -MT tree-profile.o -MMD -MP -MF ./.deps/tree-profile.TPo ../../src/gcc/tree-profile.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-scalar-evolution.o -MT tree-scalar-evolution.o -MMD -MP -MF ./.deps/tree-scalar-evolution.TPo ../../src/gcc/tree-scalar-evolution.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-sra.o -MT tree-sra.o -MMD -MP -MF ./.deps/tree-sra.TPo ../../src/gcc/tree-sra.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-switch-conversion.o -MT tree-switch-conversion.o -MMD -MP -MF ./.deps/tree-switch-conversion.TPo ../../src/gcc/tree-switch-conversion.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-address.o -MT tree-ssa-address.o -MMD -MP -MF ./.deps/tree-ssa-address.TPo ../../src/gcc/tree-ssa-address.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-alias.o -MT tree-ssa-alias.o -MMD -MP -MF ./.deps/tree-ssa-alias.TPo ../../src/gcc/tree-ssa-alias.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ccp.o -MT tree-ssa-ccp.o -MMD -MP -MF ./.deps/tree-ssa-ccp.TPo ../../src/gcc/tree-ssa-ccp.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-coalesce.o -MT tree-ssa-coalesce.o -MMD -MP -MF ./.deps/tree-ssa-coalesce.TPo ../../src/gcc/tree-ssa-coalesce.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-copy.o -MT tree-ssa-copy.o -MMD -MP -MF ./.deps/tree-ssa-copy.TPo ../../src/gcc/tree-ssa-copy.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dce.o -MT tree-ssa-dce.o -MMD -MP -MF ./.deps/tree-ssa-dce.TPo ../../src/gcc/tree-ssa-dce.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dom.o -MT tree-ssa-dom.o -MMD -MP -MF ./.deps/tree-ssa-dom.TPo ../../src/gcc/tree-ssa-dom.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-dse.o -MT tree-ssa-dse.o -MMD -MP -MF ./.deps/tree-ssa-dse.TPo ../../src/gcc/tree-ssa-dse.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-forwprop.o -MT tree-ssa-forwprop.o -MMD -MP -MF ./.deps/tree-ssa-forwprop.TPo ../../src/gcc/tree-ssa-forwprop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ifcombine.o -MT tree-ssa-ifcombine.o -MMD -MP -MF ./.deps/tree-ssa-ifcombine.TPo ../../src/gcc/tree-ssa-ifcombine.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-live.o -MT tree-ssa-live.o -MMD -MP -MF ./.deps/tree-ssa-live.TPo ../../src/gcc/tree-ssa-live.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ch.o -MT tree-ssa-loop-ch.o -MMD -MP -MF ./.deps/tree-ssa-loop-ch.TPo ../../src/gcc/tree-ssa-loop-ch.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-im.o -MT tree-ssa-loop-im.o -MMD -MP -MF ./.deps/tree-ssa-loop-im.TPo ../../src/gcc/tree-ssa-loop-im.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivcanon.o -MT tree-ssa-loop-ivcanon.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivcanon.TPo ../../src/gcc/tree-ssa-loop-ivcanon.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-ivopts.o -MT tree-ssa-loop-ivopts.o -MMD -MP -MF ./.deps/tree-ssa-loop-ivopts.TPo ../../src/gcc/tree-ssa-loop-ivopts.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-manip.o -MT tree-ssa-loop-manip.o -MMD -MP -MF ./.deps/tree-ssa-loop-manip.TPo ../../src/gcc/tree-ssa-loop-manip.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-niter.o -MT tree-ssa-loop-niter.o -MMD -MP -MF ./.deps/tree-ssa-loop-niter.TPo ../../src/gcc/tree-ssa-loop-niter.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-prefetch.o -MT tree-ssa-loop-prefetch.o -MMD -MP -MF ./.deps/tree-ssa-loop-prefetch.TPo ../../src/gcc/tree-ssa-loop-prefetch.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-split.o -MT tree-ssa-loop-split.o -MMD -MP -MF ./.deps/tree-ssa-loop-split.TPo ../../src/gcc/tree-ssa-loop-split.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop-unswitch.o -MT tree-ssa-loop-unswitch.o -MMD -MP -MF ./.deps/tree-ssa-loop-unswitch.TPo ../../src/gcc/tree-ssa-loop-unswitch.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-loop.o -MT tree-ssa-loop.o -MMD -MP -MF ./.deps/tree-ssa-loop.TPo ../../src/gcc/tree-ssa-loop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-math-opts.o -MT tree-ssa-math-opts.o -MMD -MP -MF ./.deps/tree-ssa-math-opts.TPo ../../src/gcc/tree-ssa-math-opts.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-operands.o -MT tree-ssa-operands.o -MMD -MP -MF ./.deps/tree-ssa-operands.TPo ../../src/gcc/tree-ssa-operands.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-range-path.o -MT gimple-range-path.o -MMD -MP -MF ./.deps/gimple-range-path.TPo ../../src/gcc/gimple-range-path.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiopt.o -MT tree-ssa-phiopt.o -MMD -MP -MF ./.deps/tree-ssa-phiopt.TPo ../../src/gcc/tree-ssa-phiopt.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-phiprop.o -MT tree-ssa-phiprop.o -MMD -MP -MF ./.deps/tree-ssa-phiprop.TPo ../../src/gcc/tree-ssa-phiprop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-pre.o -MT tree-ssa-pre.o -MMD -MP -MF ./.deps/tree-ssa-pre.TPo ../../src/gcc/tree-ssa-pre.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-propagate.o -MT tree-ssa-propagate.o -MMD -MP -MF ./.deps/tree-ssa-propagate.TPo ../../src/gcc/tree-ssa-propagate.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-reassoc.o -MT tree-ssa-reassoc.o -MMD -MP -MF ./.deps/tree-ssa-reassoc.TPo ../../src/gcc/tree-ssa-reassoc.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sccvn.o -MT tree-ssa-sccvn.o -MMD -MP -MF ./.deps/tree-ssa-sccvn.TPo ../../src/gcc/tree-ssa-sccvn.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-scopedtables.o -MT tree-ssa-scopedtables.o -MMD -MP -MF ./.deps/tree-ssa-scopedtables.TPo ../../src/gcc/tree-ssa-scopedtables.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-sink.o -MT tree-ssa-sink.o -MMD -MP -MF ./.deps/tree-ssa-sink.TPo ../../src/gcc/tree-ssa-sink.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-strlen.o -MT tree-ssa-strlen.o -MMD -MP -MF ./.deps/tree-ssa-strlen.TPo ../../src/gcc/tree-ssa-strlen.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-structalias.o -MT tree-ssa-structalias.o -MMD -MP -MF ./.deps/tree-ssa-structalias.TPo ../../src/gcc/tree-ssa-structalias.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-tail-merge.o -MT tree-ssa-tail-merge.o -MMD -MP -MF ./.deps/tree-ssa-tail-merge.TPo ../../src/gcc/tree-ssa-tail-merge.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-ter.o -MT tree-ssa-ter.o -MMD -MP -MF ./.deps/tree-ssa-ter.TPo ../../src/gcc/tree-ssa-ter.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadbackward.o -MT tree-ssa-threadbackward.o -MMD -MP -MF ./.deps/tree-ssa-threadbackward.TPo ../../src/gcc/tree-ssa-threadbackward.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadedge.o -MT tree-ssa-threadedge.o -MMD -MP -MF ./.deps/tree-ssa-threadedge.TPo ../../src/gcc/tree-ssa-threadedge.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-threadupdate.o -MT tree-ssa-threadupdate.o -MMD -MP -MF ./.deps/tree-ssa-threadupdate.TPo ../../src/gcc/tree-ssa-threadupdate.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uncprop.o -MT tree-ssa-uncprop.o -MMD -MP -MF ./.deps/tree-ssa-uncprop.TPo ../../src/gcc/tree-ssa-uncprop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa-uninit.o -MT tree-ssa-uninit.o -MMD -MP -MF ./.deps/tree-ssa-uninit.TPo ../../src/gcc/tree-ssa-uninit.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssa.o -MT tree-ssa.o -MMD -MP -MF ./.deps/tree-ssa.TPo ../../src/gcc/tree-ssa.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-ssanames.o -MT tree-ssanames.o -MMD -MP -MF ./.deps/tree-ssanames.TPo ../../src/gcc/tree-ssanames.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-stdarg.o -MT tree-stdarg.o -MMD -MP -MF ./.deps/tree-stdarg.TPo ../../src/gcc/tree-stdarg.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer.o -MT tree-streamer.o -MMD -MP -MF ./.deps/tree-streamer.TPo ../../src/gcc/tree-streamer.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-in.o -MT tree-streamer-in.o -MMD -MP -MF ./.deps/tree-streamer-in.TPo ../../src/gcc/tree-streamer-in.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-streamer-out.o -MT tree-streamer-out.o -MMD -MP -MF ./.deps/tree-streamer-out.TPo ../../src/gcc/tree-streamer-out.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-tailcall.o -MT tree-tailcall.o -MMD -MP -MF ./.deps/tree-tailcall.TPo ../../src/gcc/tree-tailcall.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-generic.o -MT tree-vect-generic.o -MMD -MP -MF ./.deps/tree-vect-generic.TPo ../../src/gcc/tree-vect-generic.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gimple-isel.o -MT gimple-isel.o -MMD -MP -MF ./.deps/gimple-isel.TPo ../../src/gcc/gimple-isel.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-patterns.o -MT tree-vect-patterns.o -MMD -MP -MF ./.deps/tree-vect-patterns.TPo ../../src/gcc/tree-vect-patterns.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-data-refs.o -MT tree-vect-data-refs.o -MMD -MP -MF ./.deps/tree-vect-data-refs.TPo ../../src/gcc/tree-vect-data-refs.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-stmts.o -MT tree-vect-stmts.o -MMD -MP -MF ./.deps/tree-vect-stmts.TPo ../../src/gcc/tree-vect-stmts.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop.o -MT tree-vect-loop.o -MMD -MP -MF ./.deps/tree-vect-loop.TPo ../../src/gcc/tree-vect-loop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-loop-manip.o -MT tree-vect-loop-manip.o -MMD -MP -MF ./.deps/tree-vect-loop-manip.TPo ../../src/gcc/tree-vect-loop-manip.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-slp.o -MT tree-vect-slp.o -MMD -MP -MF ./.deps/tree-vect-slp.TPo ../../src/gcc/tree-vect-slp.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vect-slp-patterns.o -MT tree-vect-slp-patterns.o -MMD -MP -MF ./.deps/tree-vect-slp-patterns.TPo ../../src/gcc/tree-vect-slp-patterns.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vectorizer.o -MT tree-vectorizer.o -MMD -MP -MF ./.deps/tree-vectorizer.TPo ../../src/gcc/tree-vectorizer.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vector-builder.o -MT tree-vector-builder.o -MMD -MP -MF ./.deps/tree-vector-builder.TPo ../../src/gcc/tree-vector-builder.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree-vrp.o -MT tree-vrp.o -MMD -MP -MF ./.deps/tree-vrp.TPo ../../src/gcc/tree-vrp.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tree.o -MT tree.o -MMD -MP -MF ./.deps/tree.TPo ../../src/gcc/tree.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o tristate.o -MT tristate.o -MMD -MP -MF ./.deps/tristate.TPo ../../src/gcc/tristate.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o typed-splay-tree.o -MT typed-splay-tree.o -MMD -MP -MF ./.deps/typed-splay-tree.TPo ../../src/gcc/typed-splay-tree.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o valtrack.o -MT valtrack.o -MMD -MP -MF ./.deps/valtrack.TPo ../../src/gcc/valtrack.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-pointer-equiv.o -MT value-pointer-equiv.o -MMD -MP -MF ./.deps/value-pointer-equiv.TPo ../../src/gcc/value-pointer-equiv.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-query.o -MT value-query.o -MMD -MP -MF ./.deps/value-query.TPo ../../src/gcc/value-query.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-range.o -MT value-range.o -MMD -MP -MF ./.deps/value-range.TPo ../../src/gcc/value-range.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-range-pretty-print.o -MT value-range-pretty-print.o -MMD -MP -MF ./.deps/value-range-pretty-print.TPo ../../src/gcc/value-range-pretty-print.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-range-storage.o -MT value-range-storage.o -MMD -MP -MF ./.deps/value-range-storage.TPo ../../src/gcc/value-range-storage.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-relation.o -MT value-relation.o -MMD -MP -MF ./.deps/value-relation.TPo ../../src/gcc/value-relation.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o value-prof.o -MT value-prof.o -MMD -MP -MF ./.deps/value-prof.TPo ../../src/gcc/value-prof.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o var-tracking.o -MT var-tracking.o -MMD -MP -MF ./.deps/var-tracking.TPo ../../src/gcc/var-tracking.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o varasm.o -MT varasm.o -MMD -MP -MF ./.deps/varasm.TPo ../../src/gcc/varasm.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o varpool.o -MT varpool.o -MMD -MP -MF ./.deps/varpool.TPo ../../src/gcc/varpool.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vec-perm-indices.o -MT vec-perm-indices.o -MMD -MP -MF ./.deps/vec-perm-indices.TPo ../../src/gcc/vec-perm-indices.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vmsdbgout.o -MT vmsdbgout.o -MMD -MP -MF ./.deps/vmsdbgout.TPo ../../src/gcc/vmsdbgout.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vr-values.o -MT vr-values.o -MMD -MP -MF ./.deps/vr-values.TPo ../../src/gcc/vr-values.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vtable-verify.o -MT vtable-verify.o -MMD -MP -MF ./.deps/vtable-verify.TPo ../../src/gcc/vtable-verify.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o warning-control.o -MT warning-control.o -MMD -MP -MF ./.deps/warning-control.TPo ../../src/gcc/warning-control.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o web.o -MT web.o -MMD -MP -MF ./.deps/web.TPo ../../src/gcc/web.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int.o -MT wide-int.o -MMD -MP -MF ./.deps/wide-int.TPo ../../src/gcc/wide-int.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o wide-int-print.o -MT wide-int-print.o -MMD -MP -MF ./.deps/wide-int-print.TPo ../../src/gcc/wide-int-print.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000.o -MT rs6000.o -MMD -MP -MF ./.deps/rs6000.TPo ../../src/gcc/config/rs6000/rs6000.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/access-diagram.o -MT analyzer/access-diagram.o -MMD -MP -MF analyzer/.deps/access-diagram.TPo ../../src/gcc/analyzer/access-diagram.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analysis-plan.o -MT analyzer/analysis-plan.o -MMD -MP -MF analyzer/.deps/analysis-plan.TPo ../../src/gcc/analyzer/analysis-plan.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer.o -MT analyzer/analyzer.o -MMD -MP -MF analyzer/.deps/analyzer.TPo ../../src/gcc/analyzer/analyzer.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-language.o -MT analyzer/analyzer-language.o -MMD -MP -MF analyzer/.deps/analyzer-language.TPo ../../src/gcc/analyzer/analyzer-language.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-logging.o -MT analyzer/analyzer-logging.o -MMD -MP -MF analyzer/.deps/analyzer-logging.TPo ../../src/gcc/analyzer/analyzer-logging.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-pass.o -MT analyzer/analyzer-pass.o -MMD -MP -MF analyzer/.deps/analyzer-pass.TPo ../../src/gcc/analyzer/analyzer-pass.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/analyzer-selftests.o -MT analyzer/analyzer-selftests.o -MMD -MP -MF analyzer/.deps/analyzer-selftests.TPo ../../src/gcc/analyzer/analyzer-selftests.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/bar-chart.o -MT analyzer/bar-chart.o -MMD -MP -MF analyzer/.deps/bar-chart.TPo ../../src/gcc/analyzer/bar-chart.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/bounds-checking.o -MT analyzer/bounds-checking.o -MMD -MP -MF analyzer/.deps/bounds-checking.TPo ../../src/gcc/analyzer/bounds-checking.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/call-details.o -MT analyzer/call-details.o -MMD -MP -MF analyzer/.deps/call-details.TPo ../../src/gcc/analyzer/call-details.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/call-info.o -MT analyzer/call-info.o -MMD -MP -MF analyzer/.deps/call-info.TPo ../../src/gcc/analyzer/call-info.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/call-string.o -MT analyzer/call-string.o -MMD -MP -MF analyzer/.deps/call-string.TPo ../../src/gcc/analyzer/call-string.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/call-summary.o -MT analyzer/call-summary.o -MMD -MP -MF analyzer/.deps/call-summary.TPo ../../src/gcc/analyzer/call-summary.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/checker-event.o -MT analyzer/checker-event.o -MMD -MP -MF analyzer/.deps/checker-event.TPo ../../src/gcc/analyzer/checker-event.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/checker-path.o -MT analyzer/checker-path.o -MMD -MP -MF analyzer/.deps/checker-path.TPo ../../src/gcc/analyzer/checker-path.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/complexity.o -MT analyzer/complexity.o -MMD -MP -MF analyzer/.deps/complexity.TPo ../../src/gcc/analyzer/complexity.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/constraint-manager.o -MT analyzer/constraint-manager.o -MMD -MP -MF analyzer/.deps/constraint-manager.TPo ../../src/gcc/analyzer/constraint-manager.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/diagnostic-manager.o -MT analyzer/diagnostic-manager.o -MMD -MP -MF analyzer/.deps/diagnostic-manager.TPo ../../src/gcc/analyzer/diagnostic-manager.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/engine.o -MT analyzer/engine.o -MMD -MP -MF analyzer/.deps/engine.TPo ../../src/gcc/analyzer/engine.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/feasible-graph.o -MT analyzer/feasible-graph.o -MMD -MP -MF analyzer/.deps/feasible-graph.TPo ../../src/gcc/analyzer/feasible-graph.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/function-set.o -MT analyzer/function-set.o -MMD -MP -MF analyzer/.deps/function-set.TPo ../../src/gcc/analyzer/function-set.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/infinite-loop.o -MT analyzer/infinite-loop.o -MMD -MP -MF analyzer/.deps/infinite-loop.TPo ../../src/gcc/analyzer/infinite-loop.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/infinite-recursion.o -MT analyzer/infinite-recursion.o -MMD -MP -MF analyzer/.deps/infinite-recursion.TPo ../../src/gcc/analyzer/infinite-recursion.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/kf.o -MT analyzer/kf.o -MMD -MP -MF analyzer/.deps/kf.TPo ../../src/gcc/analyzer/kf.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/kf-analyzer.o -MT analyzer/kf-analyzer.o -MMD -MP -MF analyzer/.deps/kf-analyzer.TPo ../../src/gcc/analyzer/kf-analyzer.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/kf-lang-cp.o -MT analyzer/kf-lang-cp.o -MMD -MP -MF analyzer/.deps/kf-lang-cp.TPo ../../src/gcc/analyzer/kf-lang-cp.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/known-function-manager.o -MT analyzer/known-function-manager.o -MMD -MP -MF analyzer/.deps/known-function-manager.TPo ../../src/gcc/analyzer/known-function-manager.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/pending-diagnostic.o -MT analyzer/pending-diagnostic.o -MMD -MP -MF analyzer/.deps/pending-diagnostic.TPo ../../src/gcc/analyzer/pending-diagnostic.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-point.o -MT analyzer/program-point.o -MMD -MP -MF analyzer/.deps/program-point.TPo ../../src/gcc/analyzer/program-point.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/program-state.o -MT analyzer/program-state.o -MMD -MP -MF analyzer/.deps/program-state.TPo ../../src/gcc/analyzer/program-state.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/ranges.o -MT analyzer/ranges.o -MMD -MP -MF analyzer/.deps/ranges.TPo ../../src/gcc/analyzer/ranges.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/record-layout.o -MT analyzer/record-layout.o -MMD -MP -MF analyzer/.deps/record-layout.TPo ../../src/gcc/analyzer/record-layout.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region.o -MT analyzer/region.o -MMD -MP -MF analyzer/.deps/region.TPo ../../src/gcc/analyzer/region.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model.o -MT analyzer/region-model.o -MMD -MP -MF analyzer/.deps/region-model.TPo ../../src/gcc/analyzer/region-model.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-asm.o -MT analyzer/region-model-asm.o -MMD -MP -MF analyzer/.deps/region-model-asm.TPo ../../src/gcc/analyzer/region-model-asm.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-manager.o -MT analyzer/region-model-manager.o -MMD -MP -MF analyzer/.deps/region-model-manager.TPo ../../src/gcc/analyzer/region-model-manager.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/region-model-reachability.o -MT analyzer/region-model-reachability.o -MMD -MP -MF analyzer/.deps/region-model-reachability.TPo ../../src/gcc/analyzer/region-model-reachability.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm.o -MT analyzer/sm.o -MMD -MP -MF analyzer/.deps/sm.TPo ../../src/gcc/analyzer/sm.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-file.o -MT analyzer/sm-file.o -MMD -MP -MF analyzer/.deps/sm-file.TPo ../../src/gcc/analyzer/sm-file.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-fd.o -MT analyzer/sm-fd.o -MMD -MP -MF analyzer/.deps/sm-fd.TPo ../../src/gcc/analyzer/sm-fd.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-malloc.o -MT analyzer/sm-malloc.o -MMD -MP -MF analyzer/.deps/sm-malloc.TPo ../../src/gcc/analyzer/sm-malloc.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-pattern-test.o -MT analyzer/sm-pattern-test.o -MMD -MP -MF analyzer/.deps/sm-pattern-test.TPo ../../src/gcc/analyzer/sm-pattern-test.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-sensitive.o -MT analyzer/sm-sensitive.o -MMD -MP -MF analyzer/.deps/sm-sensitive.TPo ../../src/gcc/analyzer/sm-sensitive.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-signal.o -MT analyzer/sm-signal.o -MMD -MP -MF analyzer/.deps/sm-signal.TPo ../../src/gcc/analyzer/sm-signal.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/sm-taint.o -MT analyzer/sm-taint.o -MMD -MP -MF analyzer/.deps/sm-taint.TPo ../../src/gcc/analyzer/sm-taint.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/state-purge.o -MT analyzer/state-purge.o -MMD -MP -MF analyzer/.deps/state-purge.TPo ../../src/gcc/analyzer/state-purge.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/store.o -MT analyzer/store.o -MMD -MP -MF analyzer/.deps/store.TPo ../../src/gcc/analyzer/store.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/supergraph.o -MT analyzer/supergraph.o -MMD -MP -MF analyzer/.deps/supergraph.TPo ../../src/gcc/analyzer/supergraph.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/svalue.o -MT analyzer/svalue.o -MMD -MP -MF analyzer/.deps/svalue.TPo ../../src/gcc/analyzer/svalue.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/symbol.o -MT analyzer/symbol.o -MMD -MP -MF analyzer/.deps/symbol.TPo ../../src/gcc/analyzer/symbol.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/trimmed-graph.o -MT analyzer/trimmed-graph.o -MMD -MP -MF analyzer/.deps/trimmed-graph.TPo ../../src/gcc/analyzer/trimmed-graph.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ianalyzer -I../../src/gcc -I../../src/gcc/analyzer -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o analyzer/varargs.o -MT analyzer/varargs.o -MMD -MP -MF analyzer/.deps/varargs.TPo ../../src/gcc/analyzer/varargs.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-string.o -MT rs6000-string.o -MMD -MP -MF ./.deps/rs6000-string.TPo ../../src/gcc/config/rs6000/rs6000-string.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-p8swap.o -MT rs6000-p8swap.o -MMD -MP -MF ./.deps/rs6000-p8swap.TPo ../../src/gcc/config/rs6000/rs6000-p8swap.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-logue.o -MT rs6000-logue.o -MMD -MP -MF ./.deps/rs6000-logue.TPo ../../src/gcc/config/rs6000/rs6000-logue.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-call.o -MT rs6000-call.o -MMD -MP -MF ./.deps/rs6000-call.TPo ../../src/gcc/config/rs6000/rs6000-call.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-pcrel-opt.o -MT rs6000-pcrel-opt.o -MMD -MP -MF ./.deps/rs6000-pcrel-opt.TPo ../../src/gcc/config/rs6000/rs6000-pcrel-opt.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-builtins.o -MT rs6000-builtins.o -MMD -MP -MF ./.deps/rs6000-builtins.TPo rs6000-builtins.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-builtin.o -MT rs6000-builtin.o -MMD -MP -MF ./.deps/rs6000-builtin.TPo ../../src/gcc/config/rs6000/rs6000-builtin.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o linux.o -MT linux.o -MMD -MP -MF ./.deps/linux.TPo ../../src/gcc/config/linux.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-linux.o -MT rs6000-linux.o -MMD -MP -MF ./.deps/rs6000-linux.TPo ../../src/gcc/config/rs6000/rs6000-linux.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o host-linux.o -MT host-linux.o -MMD -MP -MF ./.deps/host-linux.TPo ../../src/gcc/config/host-linux.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o main.o -MT main.o -MMD -MP -MF ./.deps/main.TPo ../../src/gcc/main.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o rs6000-common.o -MT rs6000-common.o -MMD -MP -MF ./.deps/rs6000-common.TPo ../../src/gcc/common/config/rs6000/rs6000-common.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DPREFIX=\"/usr/lib/ghdl/gcc\" -DBASEVER="\"14.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o prefix.o -MT prefix.o -MMD -MP -MF ./.deps/prefix.TPo ../../src/gcc/prefix.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts.o -MT opts.o -MMD -MP -MF ./.deps/opts.TPo ../../src/gcc/opts.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opts-common.o -MT opts-common.o -MMD -MP -MF ./.deps/opts-common.TPo ../../src/gcc/opts-common.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o options.o -MT options.o -MMD -MP -MF ./.deps/options.TPo options.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vec.o -MT vec.o -MMD -MP -MF ./.deps/vec.TPo ../../src/gcc/vec.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hooks.o -MT hooks.o -MMD -MP -MF ./.deps/hooks.TPo ../../src/gcc/hooks.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Icommon -I../../src/gcc -I../../src/gcc/common -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o common/common-targhooks.o -MT common/common-targhooks.o -MMD -MP -MF common/.deps/common-targhooks.TPo ../../src/gcc/common/common-targhooks.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o hash-table.o -MT hash-table.o -MMD -MP -MF ./.deps/hash-table.TPo ../../src/gcc/hash-table.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o file-find.o -MT file-find.o -MMD -MP -MF ./.deps/file-find.TPo ../../src/gcc/file-find.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest.o -MT selftest.o -MMD -MP -MF ./.deps/selftest.TPo ../../src/gcc/selftest.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o opt-suggestions.o -MT opt-suggestions.o -MMD -MP -MF ./.deps/opt-suggestions.TPo ../../src/gcc/opt-suggestions.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-spec.o -MT diagnostic-spec.o -MMD -MP -MF ./.deps/diagnostic-spec.TPo ../../src/gcc/diagnostic-spec.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic.o -MT diagnostic.o -MMD -MP -MF ./.deps/diagnostic.TPo ../../src/gcc/diagnostic.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-color.o -MT diagnostic-color.o -MMD -MP -MF ./.deps/diagnostic-color.TPo ../../src/gcc/diagnostic-color.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-format-json.o -MT diagnostic-format-json.o -MMD -MP -MF ./.deps/diagnostic-format-json.TPo ../../src/gcc/diagnostic-format-json.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-format-sarif.o -MT diagnostic-format-sarif.o -MMD -MP -MF ./.deps/diagnostic-format-sarif.TPo ../../src/gcc/diagnostic-format-sarif.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o diagnostic-show-locus.o -MT diagnostic-show-locus.o -MMD -MP -MF ./.deps/diagnostic-show-locus.TPo ../../src/gcc/diagnostic-show-locus.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o edit-context.o -MT edit-context.o -MMD -MP -MF ./.deps/edit-context.TPo ../../src/gcc/edit-context.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o pretty-print.o -MT pretty-print.o -MMD -MP -MF ./.deps/pretty-print.TPo ../../src/gcc/pretty-print.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DLOCALEDIR=\"/usr/lib/ghdl/gcc/share/locale\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o intl.o -MT intl.o -MMD -MP -MF ./.deps/intl.TPo ../../src/gcc/intl.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o json.o -MT json.o -MMD -MP -MF ./.deps/json.TPo ../../src/gcc/json.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sbitmap.o -MT sbitmap.o -MMD -MP -MF ./.deps/sbitmap.TPo ../../src/gcc/sbitmap.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o input.o -MT input.o -MMD -MP -MF ./.deps/input.TPo ../../src/gcc/input.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o ggc-none.o -MT ggc-none.o -MMD -MP -MF ./.deps/ggc-none.TPo ../../src/gcc/ggc-none.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o memory-block.o -MT memory-block.o -MMD -MP -MF ./.deps/memory-block.TPo ../../src/gcc/memory-block.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o selftest-diagnostic.o -MT selftest-diagnostic.o -MMD -MP -MF ./.deps/selftest-diagnostic.TPo ../../src/gcc/selftest-diagnostic.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o sort.o -MT sort.o -MMD -MP -MF ./.deps/sort.TPo ../../src/gcc/sort.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Itext-art -I../../src/gcc -I../../src/gcc/text-art -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o text-art/box-drawing.o -MT text-art/box-drawing.o -MMD -MP -MF text-art/.deps/box-drawing.TPo ../../src/gcc/text-art/box-drawing.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Itext-art -I../../src/gcc -I../../src/gcc/text-art -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o text-art/canvas.o -MT text-art/canvas.o -MMD -MP -MF text-art/.deps/canvas.TPo ../../src/gcc/text-art/canvas.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Itext-art -I../../src/gcc -I../../src/gcc/text-art -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o text-art/ruler.o -MT text-art/ruler.o -MMD -MP -MF text-art/.deps/ruler.TPo ../../src/gcc/text-art/ruler.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Itext-art -I../../src/gcc -I../../src/gcc/text-art -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o text-art/selftests.o -MT text-art/selftests.o -MMD -MP -MF text-art/.deps/selftests.TPo ../../src/gcc/text-art/selftests.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Itext-art -I../../src/gcc -I../../src/gcc/text-art -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o text-art/style.o -MT text-art/style.o -MMD -MP -MF text-art/.deps/style.TPo ../../src/gcc/text-art/style.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Itext-art -I../../src/gcc -I../../src/gcc/text-art -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o text-art/styled-string.o -MT text-art/styled-string.o -MMD -MP -MF text-art/.deps/styled-string.TPo ../../src/gcc/text-art/styled-string.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Itext-art -I../../src/gcc -I../../src/gcc/text-art -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o text-art/table.o -MT text-art/table.o -MMD -MP -MF text-art/.deps/table.TPo ../../src/gcc/text-art/table.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Itext-art -I../../src/gcc -I../../src/gcc/text-art -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o text-art/theme.o -MT text-art/theme.o -MMD -MP -MF text-art/.deps/theme.TPo ../../src/gcc/text-art/theme.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Itext-art -I../../src/gcc -I../../src/gcc/text-art -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o text-art/widget.o -MT text-art/widget.o -MMD -MP -MF text-art/.deps/widget.TPo ../../src/gcc/text-art/widget.cc ../../src/gcc/text-art/style.cc: In member function ‘void text_art::style::color::print_sgr(pretty_printer*, bool, bool&) const’: ../../src/gcc/text-art/style.cc:151:25: warning: spurious leading punctuation sequence ‘;’ in format [-Wformat-diag] 151 | pp_printf (pp, ";5;%i", (int)u.m_8bit); | ^ ../../src/gcc/text-art/style.cc:161:25: warning: spurious leading punctuation sequence ‘;’ in format [-Wformat-diag] 161 | pp_printf (pp, ";2;%i;%i;%i", | ^ powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-lang.o -MT lto/lto-lang.o -MMD -MP -MF lto/.deps/lto-lang.TPo ../../src/gcc/lto/lto-lang.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto.o -MT lto/lto.o -MMD -MP -MF lto/.deps/lto.TPo ../../src/gcc/lto/lto.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-object.o -MT lto/lto-object.o -MMD -MP -MF lto/.deps/lto-object.TPo ../../src/gcc/lto/lto-object.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-partition.o -MT lto/lto-partition.o -MMD -MP -MF lto/.deps/lto-partition.TPo ../../src/gcc/lto/lto-partition.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-symtab.o -MT lto/lto-symtab.o -MMD -MP -MF lto/.deps/lto-symtab.TPo ../../src/gcc/lto/lto-symtab.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-common.o -MT lto/lto-common.o -MMD -MP -MF lto/.deps/lto-common.TPo ../../src/gcc/lto/lto-common.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -DHAVE_CONFIG_H -fno-PIE -I. -Ivhdl -I../../src/gcc -I../../src/gcc/vhdl -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o vhdl/ortho-lang.o -MT vhdl/ortho-lang.o -MMD -MP -MF vhdl/.deps/ortho-lang.TPo ../../src/gcc/vhdl/ortho-lang.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov.o -MT gcov.o -MMD -MP -MF ./.deps/gcov.TPo ../../src/gcc/gcov.cc ../../src/gcc/vhdl/ortho-lang.cc: In function ‘void new_var_body(tree, o_storage, tree)’: ../../src/gcc/vhdl/ortho-lang.cc:1787:20: warning: unused parameter ‘res’ [-Wunused-parameter] 1787 | new_var_body (tree res, enum o_storage storage, tree atype) | ~~~~~^~~ ../../src/gcc/vhdl/ortho-lang.cc:1787:40: warning: unused parameter ‘storage’ [-Wunused-parameter] 1787 | new_var_body (tree res, enum o_storage storage, tree atype) | ~~~~~~~~~~~~~~~^~~~~~~ ../../src/gcc/vhdl/ortho-lang.cc:1787:54: warning: unused parameter ‘atype’ [-Wunused-parameter] 1787 | new_var_body (tree res, enum o_storage storage, tree atype) | ~~~~~^~~~~ ../../src/gcc/lto/lto-common.cc: In function ‘void lto_resolution_read(splay_tree, FILE*, lto_file*)’: ../../src/gcc/lto/lto-common.cc:2084:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 2084 | fscanf (resolution, " "); /* Read white space. */ | ~~~~~~~^~~~~~~~~~~~~~~~~ ../../src/gcc/lto/lto-common.cc:2086:9: warning: ignoring return value of ‘size_t fread(void*, size_t, size_t, FILE*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 2086 | fread (obj_name, sizeof (char), name_len, resolution); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/lto/lto-common.cc:2106:10: warning: ignoring return value of ‘int fscanf(FILE*, const char*, ...)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 2106 | fscanf (resolution, "%u", &num_symbols); | ~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-dump.o -MT gcov-dump.o -MMD -MP -MF ./.deps/gcov-dump.TPo ../../src/gcc/gcov-dump.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcov-tool.o -MT gcov-tool.o -MMD -MP -MF ./.deps/gcov-tool.TPo ../../src/gcc/gcov-tool.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -o libgcov-util.o ../../src/gcc/../libgcc/libgcov-util.c powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-driver-tool.o ../../src/gcc/../libgcc/libgcov-driver.c ../../src/gcc/../libgcc/libgcov-util.c: In function ‘void tag_counters(unsigned int, int)’: ../../src/gcc/../libgcc/libgcov-util.c:214:59: warning: ‘void* calloc(size_t, size_t)’ sizes specified with ‘sizeof’ in the earlier argument and not in the later argument [-Wcalloc-transposed-args] 214 | k_ctrs[tag_ix].values = values = (gcov_type *) xcalloc (sizeof (gcov_type), | ^~~~~~~~~~~~~~~~~~ ../../src/gcc/../libgcc/libgcov-util.c:214:59: note: earlier argument should specify number of elements, later size of each element ../../src/gcc/../libgcc/libgcov-util.c: In function ‘void topn_to_memory_representation(gcov_ctr_info*)’: ../../src/gcc/../libgcc/libgcov-util.c:529:43: warning: ‘void* calloc(size_t, size_t)’ sizes specified with ‘sizeof’ in the earlier argument and not in the later argument [-Wcalloc-transposed-args] 529 | = (struct gcov_kvp *)xcalloc (sizeof (struct gcov_kvp), n); | ^~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/../libgcc/libgcov-util.c:529:43: note: earlier argument should specify number of elements, later size of each element ../../src/gcc/../libgcc/libgcov-util.c: In function ‘gcov_info* gcov_read_profile_dir(const char*, int)’: ../../src/gcc/../libgcc/libgcov-util.c:455:9: warning: ignoring return value of ‘int chdir(const char*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 455 | chdir (pwd); | ~~~~~~^~~~~ powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace \ -DIN_GCOV_TOOL=1 -o libgcov-merge-tool.o ../../src/gcc/../libgcc/libgcov-merge.c powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DTARGET_MACHINE=\"powerpc64le-linux-gnu\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2.o -MT collect2.o -MMD -MP -MF ./.deps/collect2.TPo ../../src/gcc/collect2.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect2-aix.o -MT collect2-aix.o -MMD -MP -MF ./.deps/collect2-aix.TPo ../../src/gcc/collect2-aix.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o collect-utils.o -MT collect-utils.o -MMD -MP -MF ./.deps/collect-utils.TPo ../../src/gcc/collect-utils.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto-wrapper.o -MT lto-wrapper.o -MMD -MP -MF ./.deps/lto-wrapper.TPo ../../src/gcc/lto-wrapper.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"14\" -DDEFAULT_REAL_TARGET_MACHINE=\"powerpc64le-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"powerpc64le-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../powerpc64le-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"powerpc64le-linux-gnu\" -DPERSONALITY=\"ar\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ar.o -MT gcc-ar.o -MMD -MP -MF ./.deps/gcc-ar.TPo ../../src/gcc/gcc-ar.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"14\" -DDEFAULT_REAL_TARGET_MACHINE=\"powerpc64le-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"powerpc64le-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../powerpc64le-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"powerpc64le-linux-gnu\" -DPERSONALITY=\"nm\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-nm.o -MT gcc-nm.o -MMD -MP -MF ./.deps/gcc-nm.TPo gcc-nm.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"14\" -DDEFAULT_REAL_TARGET_MACHINE=\"powerpc64le-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"powerpc64le-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../powerpc64le-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DTARGET_MACHINE=\"powerpc64le-linux-gnu\" -DPERSONALITY=\"ranlib\" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-ranlib.o -MT gcc-ranlib.o -MMD -MP -MF ./.deps/gcc-ranlib.TPo gcc-ranlib.cc ../../src/gcc/lto-wrapper.cc: In function ‘bool find_and_merge_options(int, off_t, const char*, vec, bool, vec*, const char*)’: ../../src/gcc/lto-wrapper.cc:1159:8: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 1159 | read (fd, data, length); | ~~~~~^~~~~~~~~~~~~~~~~~ powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype.o -MT gengtype.o -MMD -MP -MF ./.deps/gengtype.TPo ../../src/gcc/gengtype.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -Wno-error -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-lex.o -MT gengtype-lex.o -MMD -MP -MF ./.deps/gengtype-lex.TPo ../../src/gcc/gengtype-lex.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-parse.o -MT gengtype-parse.o -MMD -MP -MF ./.deps/gengtype-parse.TPo ../../src/gcc/gengtype-parse.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gengtype-state.o -MT gengtype-state.o -MMD -MP -MF ./.deps/gengtype-state.TPo ../../src/gcc/gengtype-state.cc /d/gcc-14.2.0/gcc-14.2.0/gcc/gengtype-lex.l: In function ‘int yylex(const char**)’: gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-14.2.0/gcc-14.2.0/gcc/gengtype-lex.l:119:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-14.2.0/gcc-14.2.0/gcc/gengtype-lex.l:114:1: note: here gengtype-lex.cc:356:15: warning: this statement may fall through [-Wimplicit-fallthrough=] /d/gcc-14.2.0/gcc-14.2.0/gcc/gengtype-lex.l:139:1: note: in expansion of macro ‘YY_DO_BEFORE_ACTION’ /d/gcc-14.2.0/gcc-14.2.0/gcc/gengtype-lex.l:135:1: note: here powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DHOST_GENERATOR_FILE -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o errors.o -MT errors.o -MMD -MP -MF ./.deps/errors.TPo ../../src/gcc/errors.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"14\" -DDEFAULT_REAL_TARGET_MACHINE=\"powerpc64le-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"powerpc64le-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../powerpc64le-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DBASEVER="\"14.2.0\"" -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc.o -MT gcc.o -MMD -MP -MF ./.deps/gcc.TPo ../../src/gcc/gcc.cc ../../src/gcc/gcc.cc:32:21: warning: "/*" within comment [-Wcomment] 32 | gcc/config//*.h instead? */ powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o gcc-main.o -MT gcc-main.o -MMD -MP -MF ./.deps/gcc-main.TPo ../../src/gcc/gcc-main.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DSTANDARD_STARTFILE_PREFIX=\"../../../\" -DSTANDARD_EXEC_PREFIX=\"/usr/lib/ghdl/gcc/lib/gcc/\" -DSTANDARD_LIBEXEC_PREFIX=\"/usr/lib/ghdl/gcc/libexec/gcc/\" -DDEFAULT_TARGET_VERSION=\"14\" -DDEFAULT_REAL_TARGET_MACHINE=\"powerpc64le-linux-gnu\" -DDEFAULT_TARGET_MACHINE=\"powerpc64le-linux-gnu\" -DSTANDARD_BINDIR_PREFIX=\"/usr/lib/ghdl/gcc/bin/\" -DTOOLDIR_BASE_PREFIX=\"../../../../\" -DACCEL_DIR_SUFFIX=\"\" -DENABLE_SHARED_LIBGCC -DCONFIGURE_SPECS="\"\"" -DTOOL_INCLUDE_DIR=\"/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../powerpc64le-linux-gnu/include\" -DNATIVE_SYSTEM_HEADER_DIR=\"/usr/include\" -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic -I../../src/gcc -I../../src/gcc/c -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c/gccspec.o -MT c/gccspec.o -MMD -MP -MF c/.deps/gccspec.TPo ../../src/gcc/c/gccspec.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace ../../src/gcc/config/rs6000/driver-rs6000.cc powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ic-family -I../../src/gcc -I../../src/gcc/c-family -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o c-family/cppspec.o -MT c-family/cppspec.o -MMD -MP -MF c-family/.deps/cppspec.TPo ../../src/gcc/c-family/cppspec.cc ../../src/gcc/gcc.cc: In function ‘long unsigned int get_random_number()’: ../../src/gcc/gcc.cc:10918:12: warning: ignoring return value of ‘ssize_t read(int, void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 10918 | read (fd, &ret, sizeof (HOST_WIDE_INT)); | ~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc: In function ‘void do_report_bug(const char**, int, char**, char**)’: ../../src/gcc/gcc.cc:8116:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 8116 | write (fd, "\n//", 3); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:8119:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 8119 | write (fd, " ", 1); | ~~~~~~^~~~~~~~~~~~ ../../src/gcc/gcc.cc:8120:13: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 8120 | write (fd, new_argv[i], strlen (new_argv[i])); | ~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:8122:9: warning: ignoring return value of ‘ssize_t write(int, const void*, size_t)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 8122 | write (fd, "\n\n", 2); | ~~~~~~^~~~~~~~~~~~~~~ ../../src/gcc/gcc.cc:8149:18: warning: ignoring return value of ‘int system(const char*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 8149 | system (cmd); | ~~~~~~~^~~~~ ../../src/gcc/gcc.cc:8161:18: warning: ignoring return value of ‘int system(const char*)’ declared with attribute ‘warn_unused_result’ [-Wunused-result] 8161 | system (cmd); | ~~~~~~~^~~~~ powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o insn-automata.o -MT insn-automata.o -MMD -MP -MF ./.deps/insn-automata.TPo insn-automata.cc rm -rf libcommon-target.a powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc libcommon-target.a rs6000-common.o prefix.o opts.o opts-common.o options.o vec.o hooks.o common/common-targhooks.o hash-table.o file-find.o spellcheck.o selftest.o opt-suggestions.o options-urls.o powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libcommon-target.a rm -rf libcommon.a powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc libcommon.a diagnostic-spec.o diagnostic.o diagnostic-color.o diagnostic-format-json.o diagnostic-format-sarif.o diagnostic-show-locus.o edit-context.o pretty-print.o intl.o json.o sbitmap.o vec.o input.o hash-table.o ggc-none.o memory-block.o selftest.o selftest-diagnostic.o sort.o text-art/box-drawing.o text-art/canvas.o text-art/ruler.o text-art/selftests.o text-art/style.o text-art/styled-string.o text-art/table.o text-art/theme.o text-art/widget.o powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libcommon.a powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc gcov-dump.o \ hash-table.o ggc-none.o\ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-dump powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc gcov-tool.o libgcov-util.o libgcov-driver-tool.o libgcov-merge-tool.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -o gcov-tool powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc -o Tcollect2 \ collect2.o collect2-aix.o vec.o ggc-none.o collect-utils.o file-find.o hash-table.o selftest.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc -o Tlto-wrapper \ lto-wrapper.o collect-utils.o ggc-none.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a mv -f Tcollect2 collect2 powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc gcc-ar.o -o gcc-ar \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc gcc-nm.o -o gcc-nm \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc gcc-ranlib.o -o gcc-ranlib \ file-find.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc gcov.o json.o \ hash-table.o ggc-none.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lz -o gcov mv -f Tlto-wrapper lto-wrapper powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc -o gengtype \ gengtype.o gengtype-lex.o gengtype-parse.o gengtype-state.o errors.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc -o xgcc gcc.o gcc-main.o ggc-none.o gcc-urlifier.o options-urls.o \ c/gccspec.o driver-rs6000.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc -o cpp gcc.o gcc-main.o ggc-none.o gcc-urlifier.o options-urls.o \ c-family/cppspec.o driver-rs6000.o libcommon-target.a \ libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a rm -rf libbackend.a powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rcT libbackend.a gimple-match-1.o gimple-match-2.o gimple-match-3.o gimple-match-4.o gimple-match-5.o gimple-match-6.o gimple-match-7.o gimple-match-8.o gimple-match-9.o gimple-match-10.o gimple-match-exports.o generic-match-1.o generic-match-2.o generic-match-3.o generic-match-4.o generic-match-5.o generic-match-6.o generic-match-7.o generic-match-8.o generic-match-9.o generic-match-10.o insn-attrtab.o insn-automata.o insn-dfatab.o insn-emit-1.o insn-emit-2.o insn-emit-3.o insn-emit-4.o insn-emit-5.o insn-emit-6.o insn-emit-7.o insn-emit-8.o insn-emit-9.o insn-emit-10.o insn-extract.o insn-latencytab.o insn-modes.o insn-opinit.o insn-output.o insn-peep.o insn-preds.o insn-recog.o insn-enums.o ggc-page.o adjust-alignment.o alias.o alloc-pool.o auto-inc-dec.o auto-profile.o bb-reorder.o bitmap.o builtins.o caller-save.o calls.o ccmp.o cfg.o cfganal.o cfgbuild.o cfgcleanup.o cfgexpand.o cfghooks.o cfgloop.o cfgloopanal.o cfgloopmanip.o cfgrtl.o ctfc.o ctfout.o btfout.o symtab.o symtab-thunks.o symtab-clones.o cgraph.o cgraphbuild.o cgraphunit.o cgraphclones.o combine.o combine-stack-adj.o compare-elim.o context.o convert.o coroutine-passes.o coverage.o cppbuiltin.o cppdefault.o cprop.o cse.o cselib.o data-streamer.o data-streamer-in.o data-streamer-out.o dbgcnt.o dce.o ddg.o debug.o df-core.o df-problems.o df-scan.o dfp.o digraph.o dojump.o dominance.o domwalk.o double-int.o dse.o dumpfile.o dwarf2asm.o dwarf2cfi.o dwarf2ctf.o dwarf2out.o early-remat.o emit-rtl.o et-forest.o except.o explow.o expmed.o expr.o fibonacci_heap.o file-prefix-map.o final.o fixed-value.o fold-const.o fold-const-call.o fold-mem-offsets.o function.o function-abi.o function-tests.o fwprop.o gcc-rich-location.o gcc-urlifier.o gcse.o gcse-common.o ggc-common.o ggc-tests.o gimple.o gimple-array-bounds.o gimple-builder.o gimple-expr.o gimple-if-to-switch.o gimple-iterator.o gimple-fold.o gimple-harden-conditionals.o gimple-harden-control-flow.o gimple-laddress.o gimple-loop-interchange.o gimple-loop-jam.o gimple-loop-versioning.o gimple-low.o gimple-lower-bitint.o gimple-predicate-analysis.o gimple-pretty-print.o gimple-range.o gimple-range-cache.o gimple-range-edge.o gimple-range-fold.o gimple-range-gori.o gimple-range-infer.o gimple-range-op.o gimple-range-phi.o gimple-range-trace.o gimple-ssa-backprop.o gimple-ssa-isolate-paths.o gimple-ssa-nonnull-compare.o gimple-ssa-sccopy.o gimple-ssa-split-paths.o gimple-ssa-store-merging.o gimple-ssa-strength-reduction.o gimple-ssa-sprintf.o gimple-ssa-warn-access.o gimple-ssa-warn-alloca.o gimple-ssa-warn-restrict.o gimple-streamer-in.o gimple-streamer-out.o gimple-walk.o gimple-warn-recursion.o gimplify.o gimplify-me.o godump.o graph.o graphds.o graphviz.o graphite.o graphite-isl-ast-to-gimple.o graphite-dependences.o graphite-optimize-isl.o graphite-poly.o graphite-scop-detection.o graphite-sese-to-poly.o gtype-desc.o haifa-sched.o hash-map-tests.o hash-set-tests.o hw-doloop.o hwint.o ifcvt.o ree.o inchash.o incpath.o init-regs.o internal-fn.o ipa-cp.o ipa-sra.o ipa-devirt.o ipa-fnsummary.o ipa-polymorphic-call.o ipa-split.o ipa-inline.o ipa-comdats.o ipa-free-lang-data.o ipa-visibility.o ipa-inline-analysis.o ipa-inline-transform.o ipa-modref.o ipa-modref-tree.o ipa-predicate.o ipa-profile.o ipa-prop.o ipa-param-manipulation.o ipa-pure-const.o ipa-icf.o ipa-icf-gimple.o ipa-reference.o ipa-ref.o ipa-utils.o ipa-strub.o ipa.o ira.o ira-build.o ira-costs.o ira-conflicts.o ira-color.o ira-emit.o ira-lives.o jump.o langhooks.o lcm.o lists.o loop-doloop.o loop-init.o loop-invariant.o loop-iv.o loop-unroll.o lower-subreg.o lra.o lra-assigns.o lra-coalesce.o lra-constraints.o lra-eliminations.o lra-lives.o lra-remat.o lra-spills.o lto-cgraph.o lto-streamer.o lto-streamer-in.o lto-streamer-out.o lto-section-in.o lto-section-out.o lto-opts.o lto-compress.o mcf.o mode-switching.o modulo-sched.o multiple_target.o omp-offload.o omp-expand.o omp-general.o omp-low.o omp-oacc-kernels-decompose.o omp-oacc-neuter-broadcast.o omp-simd-clone.o opt-problem.o optabs.o optabs-libfuncs.o optabs-query.o optabs-tree.o optinfo.o optinfo-emit-json.o options-save.o options-urls.o opts-global.o ordered-hash-map-tests.o passes.o plugin.o pointer-query.o postreload-gcse.o postreload.o predict.o print-rtl.o print-rtl-function.o print-tree.o profile.o profile-count.o range.o range-op.o range-op-float.o range-op-ptr.o read-md.o read-rtl.o read-rtl-function.o real.o realmpfr.o recog.o reg-stack.o regcprop.o reginfo.o regrename.o regstat.o reload.o reload1.o reorg.o resource.o rtl-error.o rtl-ssa/accesses.o rtl-ssa/blocks.o rtl-ssa/changes.o rtl-ssa/functions.o rtl-ssa/insns.o rtl-ssa/movement.o rtl-tests.o rtl.o rtlhash.o rtlanal.o rtlhooks.o rtx-vector-builder.o run-rtl-passes.o sched-deps.o sched-ebb.o sched-rgn.o sel-sched-ir.o sel-sched-dump.o sel-sched.o selftest-rtl.o selftest-run-tests.o sese.o shrink-wrap.o simplify-rtx.o sparseset.o spellcheck.o spellcheck-tree.o splay-tree-utils.o sreal.o stack-ptr-mod.o statistics.o stmt.o stor-layout.o store-motion.o streamer-hooks.o stringpool.o substring-locations.o target-globals.o targhooks.o timevar.o toplev.o tracer.o trans-mem.o tree-affine.o asan.o tsan.o ubsan.o sanopt.o sancov.o tree-assume.o tree-call-cdce.o tree-cfg.o tree-cfgcleanup.o tree-chrec.o tree-complex.o tree-data-ref.o tree-dfa.o tree-diagnostic.o tree-diagnostic-client-data-hooks.o tree-diagnostic-path.o tree-dump.o tree-eh.o tree-emutls.o tree-if-conv.o tree-inline.o tree-into-ssa.o tree-iterator.o tree-logical-location.o tree-loop-distribution.o tree-nested.o tree-nrv.o tree-object-size.o tree-outof-ssa.o tree-parloops.o tree-phinodes.o tree-predcom.o tree-pretty-print.o tree-profile.o tree-scalar-evolution.o tree-sra.o tree-switch-conversion.o tree-ssa-address.o tree-ssa-alias.o tree-ssa-ccp.o tree-ssa-coalesce.o tree-ssa-copy.o tree-ssa-dce.o tree-ssa-dom.o tree-ssa-dse.o tree-ssa-forwprop.o tree-ssa-ifcombine.o tree-ssa-live.o tree-ssa-loop-ch.o tree-ssa-loop-im.o tree-ssa-loop-ivcanon.o tree-ssa-loop-ivopts.o tree-ssa-loop-manip.o tree-ssa-loop-niter.o tree-ssa-loop-prefetch.o tree-ssa-loop-split.o tree-ssa-loop-unswitch.o tree-ssa-loop.o tree-ssa-math-opts.o tree-ssa-operands.o gimple-range-path.o tree-ssa-phiopt.o tree-ssa-phiprop.o tree-ssa-pre.o tree-ssa-propagate.o tree-ssa-reassoc.o tree-ssa-sccvn.o tree-ssa-scopedtables.o tree-ssa-sink.o tree-ssa-strlen.o tree-ssa-structalias.o tree-ssa-tail-merge.o tree-ssa-ter.o tree-ssa-threadbackward.o tree-ssa-threadedge.o tree-ssa-threadupdate.o tree-ssa-uncprop.o tree-ssa-uninit.o tree-ssa.o tree-ssanames.o tree-stdarg.o tree-streamer.o tree-streamer-in.o tree-streamer-out.o tree-tailcall.o tree-vect-generic.o gimple-isel.o tree-vect-patterns.o tree-vect-data-refs.o tree-vect-stmts.o tree-vect-loop.o tree-vect-loop-manip.o tree-vect-slp.o tree-vect-slp-patterns.o tree-vectorizer.o tree-vector-builder.o tree-vrp.o tree.o tristate.o typed-splay-tree.o valtrack.o value-pointer-equiv.o value-query.o value-range.o value-range-pretty-print.o value-range-storage.o value-relation.o value-prof.o var-tracking.o varasm.o varpool.o vec-perm-indices.o vmsdbgout.o vr-values.o vtable-verify.o warning-control.o web.o wide-int.o wide-int-print.o rs6000.o analyzer/access-diagram.o analyzer/analysis-plan.o analyzer/analyzer.o analyzer/analyzer-language.o analyzer/analyzer-logging.o analyzer/analyzer-pass.o analyzer/analyzer-selftests.o analyzer/bar-chart.o analyzer/bounds-checking.o analyzer/call-details.o analyzer/call-info.o analyzer/call-string.o analyzer/call-summary.o analyzer/checker-event.o analyzer/checker-path.o analyzer/complexity.o analyzer/constraint-manager.o analyzer/diagnostic-manager.o analyzer/engine.o analyzer/feasible-graph.o analyzer/function-set.o analyzer/infinite-loop.o analyzer/infinite-recursion.o analyzer/kf.o analyzer/kf-analyzer.o analyzer/kf-lang-cp.o analyzer/known-function-manager.o analyzer/pending-diagnostic.o analyzer/program-point.o analyzer/program-state.o analyzer/ranges.o analyzer/record-layout.o analyzer/region.o analyzer/region-model.o analyzer/region-model-asm.o analyzer/region-model-manager.o analyzer/region-model-reachability.o analyzer/sm.o analyzer/sm-file.o analyzer/sm-fd.o analyzer/sm-malloc.o analyzer/sm-pattern-test.o analyzer/sm-sensitive.o analyzer/sm-signal.o analyzer/sm-taint.o analyzer/state-purge.o analyzer/store.o analyzer/supergraph.o analyzer/svalue.o analyzer/symbol.o analyzer/trimmed-graph.o analyzer/varargs.o rs6000-string.o rs6000-p8swap.o rs6000-logue.o rs6000-call.o rs6000-pcrel-opt.o rs6000-builtins.o rs6000-builtin.o linux.o rs6000-linux.o host-linux.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -dumpspecs > tmp-specs mv tmp-specs specs : > tmp-libgcc.mvars if /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -print-sysroot-headers-suffix > /dev/null 2>&1; then \ set -e; for ml in `/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -print-multi-lib`; do \ multi_dir=`echo ${ml} | sed -e 's/;.*$//'`; \ flags=`echo ${ml} | sed -e 's/^[^;]*;//' -e 's/@/ -/g'`; \ sfx=`/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ ${flags} -print-sysroot-headers-suffix`; \ if [ "${multi_dir}" = "." ]; \ then multi_dir=""; \ else \ multi_dir=/${multi_dir}; \ fi; \ echo "${sfx};${multi_dir}"; \ done; \ else \ echo ";"; \ fi > tmp-fixinc_list echo GCC_CFLAGS = '-g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include ' >> tmp-libgcc.mvars echo INHIBIT_LIBC_CFLAGS = '' >> tmp-libgcc.mvars /bin/bash ../../src/gcc/../move-if-change tmp-fixinc_list fixinc_list echo TARGET_SYSTEM_ROOT = '' >> tmp-libgcc.mvars if test yes = yes; then \ NO_PIE_CFLAGS="-fno-PIE"; \ else \ NO_PIE_CFLAGS=; \ fi; \ echo NO_PIE_CFLAGS = "$NO_PIE_CFLAGS" >> tmp-libgcc.mvars mv tmp-libgcc.mvars libgcc.mvars echo timestamp > s-fixinc_list if [ -f ../stage_final ] \ && cmp -s ../stage_current ../stage_final; then \ cp ../prev-gcc/cc1-checksum.cc cc1-checksum.cc; \ else \ build/genchecksum c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o glibc-c.o rs6000-c.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a ../libbacktrace/.libs/libbacktrace.a \ checksum-options > cc1-checksum.cc.tmp && \ ../../src/gcc/../move-if-change cc1-checksum.cc.tmp cc1-checksum.cc; \ fi gnatmake -v -j8 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "ortho_gcc-main.ali" being checked ... -> "ortho_gcc-main.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc-main.adb "ortho_gcc.ali" being checked ... -> "ortho_gcc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc.adb "ortho_gcc_front.ali" being checked ... -> "ortho_gcc_front.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ortho_gcc_front.ads "ortho_front.ali" being checked ... -> "ortho_front.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ortho_front.adb "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ortho_ident.adb powerpc64le-linux-gnu-g++-14 -fno-PIE -c -DIN_GCC_FRONTEND -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -I. -I../../src/gcc -I../../src/gcc/. -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o cc1-checksum.o -MT cc1-checksum.o -MMD -MP -MF ./.deps/cc1-checksum.TPo cc1-checksum.cc Linking cc1 |-- | 0% powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc -o cc1 c/c-lang.o c-family/stub-objc.o attribs.o c/c-errors.o c/c-decl.o c/c-typeck.o c/c-convert.o c/c-aux-info.o c/c-objc-common.o c/c-parser.o c/c-fold.o c/gimple-parser.o c-family/c-common.o c-family/c-cppbuiltin.o c-family/c-dump.o c-family/c-format.o c-family/c-gimplify.o c-family/c-indentation.o c-family/c-lex.o c-family/c-omp.o c-family/c-opts.o c-family/c-pch.o c-family/c-ppoutput.o c-family/c-pragma.o c-family/c-pretty-print.o c-family/c-semantics.o c-family/c-ada-spec.o c-family/c-ubsan.o c-family/known-headers.o c-family/c-attribs.o c-family/c-warn.o c-family/c-spellcheck.o glibc-c.o rs6000-c.o \ cc1-checksum.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz "bug.ali" being checked ... -> "bug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/bug.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/dyn_maps.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/dyn_tables.adb "errorout.ali" being checked ... -> "errorout.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/errorout.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/errorout-console.adb "flags.ali" being checked ... -> "flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/flags.adb "hash.ali" being checked ... -> "hash.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/hash.adb "interning.ali" being checked ... -> "interning.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/interning.adb "libraries.ali" being checked ... -> "libraries.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/name_table.adb "options.ali" being checked ... -> "options.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/options.adb "translation.ali" being checked ... -> "translation.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/translation.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/translation.adb "types.ali" being checked ... -> "types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/types.ads "vhdl.ali" being checked ... -> "vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl.ads "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-back_end.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-configuration.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-errors.adb "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes.adb "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_lib.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-utils.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/simple_io.adb "version.ali" being checked ... -> "version.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/version.ads "files_map.ali" being checked ... -> "files_map.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/files_map.adb "filesystem.ali" being checked ... -> "filesystem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/filesystem.adb "std_names.ali" being checked ... -> "std_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/std_names.adb "str_table.ali" being checked ... -> "str_table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/str_table.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_specs.adb "elab.ali" being checked ... -> "elab.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab.ads "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_objtypes.adb "file_comments.ali" being checked ... -> "file_comments.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/file_comments.adb "outputs.ali" being checked ... -> "outputs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/outputs.adb "psl.ali" being checked ... -> "psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl.ads "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-dump_tree.adb "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes.adb "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-disp_tree.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-scanner.adb "logging.ali" being checked ... -> "logging.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/logging.adb "tables.ali" being checked ... -> "tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/tables.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_scopes.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-post_sems.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-prints.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-tokens.adb "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ortho_nodes.ads "trans.ali" being checked ... -> "trans.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans.adb "trans-chap1.ali" being checked ... -> "trans-chap1.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap1.adb "trans-chap12.ali" being checked ... -> "trans-chap12.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap12.adb "trans-chap2.ali" being checked ... -> "trans-chap2.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap2.adb "trans-chap3.ali" being checked ... -> "trans-chap3.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap3.adb "trans-chap4.ali" being checked ... -> "trans-chap4.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap4.adb "trans-chap7.ali" being checked ... -> "trans-chap7.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap7.adb "trans-coverage.ali" being checked ... -> "trans-coverage.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-coverage.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-coverage.adb Linking cc1 |== | 25% echo | /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -nostdinc -E -dM - | \ sed -n -e 's/^#define \([^_][a-zA-Z0-9_]*\).*/\1/p' \ -e 's/^#define \(_[^_A-Z][a-zA-Z0-9_]*\).*/\1/p' | \ sort -u > tmp-macro_list /bin/bash ../../src/gcc/../move-if-change tmp-macro_list macro_list echo timestamp > s-macro_list rm -rf include-fixed; mkdir include-fixed chmod a+rx include-fixed if [ -d ../prev-gcc ]; then \ cd ../prev-gcc && \ /usr/bin/make real-install-headers-tar DESTDIR=`pwd`/../gcc/ \ libsubdir=. ; \ else \ set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ fix_dir=include-fixed${multi_dir}; \ if ! false && test ! -d `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`; then \ echo "The directory (BUILD_SYSTEM_HEADER_DIR) that should contain system headers does not exist:" >&2 ; \ echo " `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" >&2 ; \ case linux-gnu in \ darwin*) \ echo "(on Darwin this usually means you need to pass the --with-sysroot= flag to point to a valid MacOS SDK)" >&2; \ ;; \ esac; \ tooldir_sysinc=`echo "/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/../../../../powerpc64le-linux-gnu/sys-include" | sed -e :a -e "s,[^/]*/\.\.\/,," -e ta`; \ if test "x`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`" = "x${tooldir_sysinc}"; \ then sleep 1; else exit 1; fi; \ fi; \ /bin/bash ../../src/gcc/../mkinstalldirs ${fix_dir}; \ chmod a+rx ${fix_dir} || true; \ (TARGET_MACHINE='powerpc64le-unknown-linux-gnu'; srcdir=`cd ../../src/gcc; ${PWDCMD-pwd}`; \ SHELL='/bin/bash'; MACRO_LIST=`${PWDCMD-pwd}`/macro_list ; \ gcc_dir=`${PWDCMD-pwd}` ; \ export TARGET_MACHINE srcdir SHELL MACRO_LIST && \ cd ../build-powerpc64le-linux-gnu/fixincludes && \ /bin/bash ./fixinc.sh "${gcc_dir}/${fix_dir}" \ `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta` ); \ done; \ fi Fixing headers into /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/include-fixed for powerpc64le-unknown-linux-gnu target Forbidden identifiers: Finding directories and links to directories Searching /usr/include/. "trans-helpers2.ali" being checked ... -> "trans-helpers2.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-helpers2.adb "trans-rtis.ali" being checked ... -> "trans-rtis.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-rtis.adb Searching /usr/include/./llvm Searching /usr/include/./clang/19/include Searching /usr/include/./clang/19.1.7/include Searching /usr/include/./llvm-c Making symbolic directory links "trans_decls.ali" being checked ... -> "trans_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans_decls.ads "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_inst.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_utils.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations.adb "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_assocs.adb "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_expr.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_names.adb Fixing directory /usr/include into /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/include-fixed Applying io_quotes_use to x86_64-linux-gnux32/asm/amd_hsmp.h Applying io_quotes_use to x86_64-linux-gnux32/asm/mtrr.h "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_psl.adb Applying io_quotes_use to drm/radeon_drm.h Applying io_quotes_use to drm/etnaviv_drm.h Applying io_quotes_use to drm/vc4_drm.h Applying io_quotes_use to drm/nouveau_drm.h Applying io_quotes_use to drm/lima_drm.h Applying io_quotes_use to drm/tegra_drm.h Applying io_quotes_use to drm/amdgpu_drm.h Applying io_quotes_use to drm/panfrost_drm.h Applying io_quotes_use to drm/vgem_drm.h Applying io_quotes_use to drm/drm.h Applying io_quotes_use to drm/i915_drm.h Applying io_quotes_use to drm/exynos_drm.h "vhdl-sem_stmts.ali" being checked ... Applying io_quotes_use to drm/qaic_accel.h Applying io_quotes_use to drm/omap_drm.h -> "vhdl-sem_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_stmts.adb Applying io_quotes_use to drm/msm_drm.h Applying io_quotes_use to drm/xe_drm.h Applying io_quotes_use to drm/habanalabs_accel.h Applying io_quotes_use to drm/v3d_drm.h Applying io_quotes_use to drm/pvr_drm.h Applying io_quotes_use to i386-linux-gnu/asm/amd_hsmp.h Applying io_quotes_use to i386-linux-gnu/asm/mtrr.h Applying io_quotes_use to sound/asound.h Applying io_quotes_use to sound/asequencer.h Applying io_quotes_use to sound/compress_offload.h Applying io_quotes_use to sound/emu10k1.h Applying io_quotes_use to x86_64-linux-gnu/asm/amd_hsmp.h Applying io_quotes_use to x86_64-linux-gnu/asm/mtrr.h Applying io_quotes_use to s390x-linux-gnu/asm/zcrypt.h Applying io_quotes_use to s390x-linux-gnu/asm/clp.h Applying io_quotes_use to s390x-linux-gnu/asm/uvdevice.h Applying io_quotes_use to s390x-linux-gnu/asm/pkey.h Applying io_quotes_use to s390x-linux-gnu/asm/cmb.h Applying io_quotes_use to s390x-linux-gnu/asm/chsc.h Applying io_quotes_use to s390x-linux-gnu/asm/vmcp.h Applying io_quotes_use to s390x-linux-gnu/asm/dasd.h Applying io_quotes_use to s390x-linux-gnu/asm/hwctrset.h Applying io_quotes_use to linux/isst_if.h Applying io_quotes_use to linux/loadpin.h Applying io_quotes_use to linux/genwqe/genwqe_card.h Applying io_quotes_use to linux/ppdev.h "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sem_types.adb Applying io_quotes_use to linux/sev-guest.h Applying io_quotes_def to linux/pci_regs.h Applying io_quotes_use to linux/nitro_enclaves.h Applying io_quotes_use to linux/btrfs.h Applying io_quotes_use to linux/agpgart.h Applying io_quotes_use to linux/counter.h Applying io_quotes_use to linux/comedi.h Applying io_quotes_use to linux/blkpg.h "vhdl-xrefs.ali" being checked ... Applying io_quotes_use to linux/tee.h -> "vhdl-xrefs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-xrefs.adb Applying io_quotes_use to linux/blkdev.h Applying io_quotes_use to linux/remoteproc_cdev.h Applying io_quotes_use to linux/watchdog.h Applying io_quotes_use to linux/i2o-dev.h Applying io_quotes_use to linux/synclink.h Applying io_quotes_use to linux/fd.h Applying io_quotes_def to linux/version.h Applying io_quotes_use to linux/mmc/ioctl.h Applying io_quotes_use to linux/rpmsg.h Applying io_quotes_use to linux/vduse.h Applying io_quotes_use to linux/mmtimer.h Applying io_quotes_use to linux/cxl_mem.h Applying io_quotes_use to linux/tps6594_pfsm.h Applying io_quotes_use to linux/pidfd.h Applying io_quotes_use to linux/bt-bmc.h Applying io_quotes_use to linux/sync_file.h Applying io_quotes_use to linux/fs.h Applying io_quotes_use to linux/nsm.h Applying io_quotes_def to linux/soundcard.h Applying io_quotes_use to linux/suspend_ioctls.h Applying io_quotes_use to linux/fuse.h Applying io_quotes_use to linux/dma-heap.h Applying io_quotes_use to linux/dma-buf.h Applying io_quotes_use to linux/eventpoll.h Applying io_quotes_use to linux/omapfb.h Applying io_quotes_use to linux/blkzoned.h Applying io_quotes_use to linux/userfaultfd.h Applying io_quotes_use to linux/if_pppox.h Applying io_quotes_use to linux/uinput.h Applying io_quotes_use to linux/aspeed-lpc-ctrl.h Applying io_quotes_use to linux/ipmi_bmc.h Applying io_quotes_use to linux/kfd_ioctl.h Applying io_quotes_use to linux/kvm.h Applying io_quotes_use to linux/hsi/cs-protocol.h Applying io_quotes_use to linux/hsi/hsi_char.h Applying io_quotes_use to linux/user_events.h Applying io_quotes_use to linux/gpio.h Applying io_quotes_use to linux/random.h Applying io_quotes_use to linux/aspeed-p2a-ctrl.h "psl-types.ali" being checked ... -> "psl-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-types.ads "grt.ali" being checked ... -> "grt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt.ads Applying io_quotes_use to linux/dm-ioctl.h "grt-c.ali" being checked ... -> "grt-c.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-c.ads "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-stdio.ads Applying io_quotes_use to linux/auto_fs.h "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_meta.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-algos.adb Applying io_quotes_use to linux/wmi.h Applying io_quotes_use to linux/ipmi.h Applying io_quotes_use to linux/pfrut.h "utils_io.ali" being checked ... -> "utils_io.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/utils_io.adb Applying io_quotes_use to linux/vm_sockets.h "lists.ali" being checked ... -> "lists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/lists.adb Applying io_quotes_use to linux/ptp_clock.h "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-types.ads Applying io_quotes_use to linux/nsfs.h Applying io_quotes_use to linux/fpga-dfl.h Applying io_quotes_use to linux/ndctl.h Applying io_quotes_use to linux/atmbr2684.h "mutils.ali" being checked ... -> "mutils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/mutils.adb Applying io_quotes_use to linux/psp-dbc.h "types_utils.ali" being checked ... -> "types_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/types_utils.adb Applying io_quotes_use to linux/spi/spidev.h "areapools.ali" being checked ... -> "areapools.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/areapools.adb Applying io_quotes_use to linux/cachefiles.h Applying io_quotes_use to linux/seccomp.h Applying io_quotes_use to linux/raid/md_u.h Applying io_quotes_use to linux/phantom.h Applying io_quotes_use to linux/sockios.h Applying io_quotes_use to linux/cciss_ioctl.h "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-memtype.adb Applying io_quotes_use to linux/surface_aggregator/cdev.h Applying io_quotes_use to linux/surface_aggregator/dtx.h Applying io_quotes_use to linux/rfkill.h Applying io_quotes_use to linux/vfio.h Applying io_quotes_def to linux/ppp-comp.h Applying io_quotes_use to linux/vhost.h Applying io_quotes_use to linux/nbd.h "grt-types.ali" being checked ... -> "grt-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-types.ads "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_meta.adb "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-hash.adb Applying io_quotes_use to linux/reiserfs_fs.h Applying io_quotes_use to linux/pktcdvd.h Applying io_quotes_use to linux/misc/bcm_vk.h Applying io_quotes_use to linux/f2fs.h Applying io_quotes_use to linux/usb/tmc.h Applying io_quotes_use to linux/iommufd.h Applying io_quotes_use to linux/vtpm_proxy.h "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-math_real.adb Applying io_quotes_use to linux/psp-sev.h Applying io_quotes_use to linux/rio_cm_cdev.h Applying io_quotes_use to video/sisfb.h "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_arith.adb Applying io_quotes_use to sparc64-linux-gnu/asm/display7seg.h Applying io_quotes_use to sparc64-linux-gnu/asm/watchdog.h Applying io_quotes_use to sparc64-linux-gnu/asm/apc.h Applying io_quotes_use to powerpc-linux-gnu/asm/papr-sysparm.h Applying io_quotes_use to powerpc-linux-gnu/asm/papr-vpd.h Applying io_quotes_use to powerpc-linux-gnu/asm/vas-api.h Applying io_quotes_use to rdma/rdma_user_ioctl.h "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-ieee-vital_timing.adb "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-std_env.adb Applying sysv68_string to string.h "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-fcvt.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-vstrings.adb Applying io_quotes_use to m68k-linux-gnu/asm/bootinfo-hp300.h Applying io_quotes_use to m68k-linux-gnu/asm/bootinfo-atari.h Applying io_quotes_use to m68k-linux-gnu/asm/bootinfo-mac.h Applying io_quotes_use to m68k-linux-gnu/asm/bootinfo-vme.h Applying io_quotes_use to m68k-linux-gnu/asm/bootinfo-virt.h Applying io_quotes_use to m68k-linux-gnu/asm/bootinfo-q40.h Applying io_quotes_use to m68k-linux-gnu/asm/bootinfo-amiga.h "psl-build.ali" being checked ... -> "psl-build.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-build.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas.adb Applying glibc_stdint to stdint.h "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-nfas-utils.adb Applying hpux8_bogus_inlines to math.h Applying sun_malloc to malloc.h "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-rewrites.adb Applying pthread_incomplete_struct_argument to pthread.h Fixed: pthread.h "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-canon_psl.adb "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap6.adb "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap5.adb "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap9.adb "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap8.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_types.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-to_strings.adb "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-vhdl_types.ads "synth.ali" being checked ... -> "synth.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth.ads Applying io_quotes_use to misc/cxl.h Applying io_quotes_use to misc/ocxl.h Applying io_quotes_use to misc/mrvl_cn10k_dpi.h Applying io_quotes_use to misc/xilinx_sdfec.h "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_eval.adb Applying io_quotes_use to mtd/ubi-user.h Applying io_quotes_use to scsi/cxlflash_ioctl.h Applying io_quotes_use to powerpc64-linux-gnu/asm/papr-sysparm.h Applying io_quotes_use to powerpc64-linux-gnu/asm/papr-vpd.h Applying io_quotes_use to powerpc64-linux-gnu/asm/vas-api.h "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-nodes_priv.ads "trans-foreach_non_composite.ali" being checked ... -> "trans-foreach_non_composite.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-foreach_non_composite.adb "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-comments.adb "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-parse_psl.adb "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans-chap14.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-prints.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-priorities.ads "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-elocations_meta.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-subsets.adb "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-flists.ads "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-nodes_priv.ads "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-sensitivity_checks.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-cse.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-optimize.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/psl-qm.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-strings.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_decls.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_errors.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_expr.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_values.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-errors.adb "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_context.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_expr.adb "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_context.adb "flists.ali" being checked ... -> "flists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/flists.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_files.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_insts.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_decls.adb Applying io_quotes_use to powerpc64le-linux-gnu/sys/mount.h Applying io_quotes_use to powerpc64le-linux-gnu/sys/pidfd.h Applying io_quotes_use to powerpc64le-linux-gnu/sys/epoll.h Applying io_quotes_use to powerpc64le-linux-gnu/sys/raw.h Applying io_quotes_use to powerpc64le-linux-gnu/asm/papr-sysparm.h Applying io_quotes_use to powerpc64le-linux-gnu/asm/papr-vpd.h Applying io_quotes_use to powerpc64le-linux-gnu/asm/vas-api.h "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_stmts.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-files.adb "netlists.ali" being checked ... -> "netlists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists.adb "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-folds.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-locations.adb "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-builders.adb Fixing directory /usr/include/llvm into /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-19/include/llvm "synth-context.ali" being checked ... -> "synth-context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-context.ads "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_environment.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_heap.adb "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-rstrings.adb "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/trans_analyzes.adb "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-arith.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_1164.adb "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-std_logic_arith.adb "synth-source.ali" being checked ... -> "synth-source.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-source.adb "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_prot.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates.ads "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-utils.adb "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-flags.ads "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_annotations.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-files_operations.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-table.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_stmts.adb "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_aggr.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_oper.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-severity.ads "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-ieee-utils.adb Fixing directory /usr/include/clang/19/include into /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-19/lib/clang/19/include "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_utils.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-environment-debug.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_values-debug.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-concats.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-dump.adb "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-gates_ports.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-inference.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-debugger.adb "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_debug.adb "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_foreign.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_insts.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-vhdl_static_proc.adb Fixing directory /usr/include/llvm-c into /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/include-fixed/root/usr/lib/llvm-19/include/llvm-c Cleaning up unneeded directories: "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-iterators.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-errors.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-internings.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-memories.adb "debuggers.ali" being checked ... -> "debuggers.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/debuggers.adb "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/elab-vhdl_context-debug.adb "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-dynload.ads "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-astdio.adb "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-readline.ads "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/grt-readline_none.adb fixincludes is done echo timestamp > stmp-fixinc if [ -d include ] ; then true; else mkdir include; chmod a+rx include; fi if [ -d include-fixed ] ; then true; else mkdir include-fixed; chmod a+rx include-fixed; fi for file in .. ../../src/gcc/ginclude/float.h ../../src/gcc/ginclude/iso646.h ../../src/gcc/ginclude/stdarg.h ../../src/gcc/ginclude/stdbool.h ../../src/gcc/ginclude/stddef.h ../../src/gcc/ginclude/varargs.h ../../src/gcc/ginclude/stdfix.h ../../src/gcc/ginclude/stdnoreturn.h ../../src/gcc/ginclude/stdalign.h ../../src/gcc/ginclude/stdatomic.h ../../src/gcc/ginclude/stdckdint.h ../../src/gcc/config/rs6000/ppc-asm.h ../../src/gcc/config/rs6000/altivec.h ../../src/gcc/config/rs6000/htmintrin.h ../../src/gcc/config/rs6000/htmxlintrin.h ../../src/gcc/config/rs6000/bmi2intrin.h ../../src/gcc/config/rs6000/bmiintrin.h ../../src/gcc/config/rs6000/xmmintrin.h ../../src/gcc/config/rs6000/mm_malloc.h ../../src/gcc/config/rs6000/emmintrin.h ../../src/gcc/config/rs6000/mmintrin.h ../../src/gcc/config/rs6000/x86intrin.h ../../src/gcc/config/rs6000/pmmintrin.h ../../src/gcc/config/rs6000/tmmintrin.h ../../src/gcc/config/rs6000/smmintrin.h ../../src/gcc/config/rs6000/nmmintrin.h ../../src/gcc/config/rs6000/immintrin.h ../../src/gcc/config/rs6000/x86gprintrin.h ../../src/gcc/config/rs6000/ppu_intrinsics.h ../../src/gcc/config/rs6000/spu2vmx.h ../../src/gcc/config/rs6000/vec_types.h ../../src/gcc/config/rs6000/si2vmx.h ../../src/gcc/config/rs6000/amo.h rs6000-vecdefines.h; do \ if [ X$file != X.. ]; then \ realfile=`echo $file | sed -e 's|.*/\([^/]*\)$|\1|'`; \ echo timestamp > include/$realfile; \ rm -f include/$realfile; \ cp $file include; \ chmod a+r include/$realfile; \ fi; \ done "synthesis.ali" being checked ... -> "synthesis.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synthesis.adb for file in .. ; do \ if [ X$file != X.. ]; then \ mv include/$file include/x_$file; \ echo "#include_next <$file>" >include/$file; \ cat include/x_$file >>include/$file; \ rm -f include/x_$file; \ chmod a+r include/$file; \ fi; \ done for file in .. ; do \ if [ X$file != X.. ]; then \ echo "#include_next <$file>" >>include/$file; \ chmod a+r include/$file; \ fi; \ done rm -f include/stdint.h if [ wrap = wrap ]; then \ rm -f include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint-gcc.h; \ chmod a+r include/stdint-gcc.h; \ cp ../../src/gcc/ginclude/stdint-wrap.h include/stdint.h; \ chmod a+r include/stdint.h; \ elif [ wrap = provide ]; then \ cp ../../src/gcc/ginclude/stdint-gcc.h include/stdint.h; \ chmod a+r include/stdint.h; \ fi set -e; for ml in `cat fixinc_list`; do \ sysroot_headers_suffix=`echo ${ml} | sed -e 's/;.*$//'`; \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ include_dir=include${multi_dir}; \ if [ -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/limits.h -o -f `echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`/powerpc64-linux-gnu/limits.h ] ; then \ cat ../../src/gcc/limitx.h ../../src/gcc/glimits.h ../../src/gcc/limity.h > tmp-xlimits.h; \ else \ cat ../../src/gcc/glimits.h > tmp-xlimits.h; \ fi; \ /bin/bash ../../src/gcc/../mkinstalldirs ${include_dir}; \ chmod a+rx ${include_dir} || true; \ /bin/bash ../../src/gcc/../move-if-change \ tmp-xlimits.h tmp-limits.h; \ rm -f ${include_dir}/limits.h; \ cp -p tmp-limits.h ${include_dir}/limits.h; \ chmod a+r ${include_dir}/limits.h; \ cp ../../src/gcc/gsyslimits.h ${include_dir}/syslimits.h; \ done "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-expands.adb "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-verilog_insts.adb if [ xstmp-fixinc != x ]; then \ rm -f include-fixed/README; \ cp ../../src/gcc/../fixincludes/README-fixinc include-fixed/README; \ chmod a+r include-fixed/README; \ fi; echo timestamp > stmp-int-hdrs /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -xc -nostdinc /dev/null -S -o /dev/null -fself-test=../../src/gcc/testsuite/selftests cc1: note: self-tests are not enabled in this build echo timestamp > s-selftest-c "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-butils.adb "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-verilog_context.adb "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-verilog_elaboration.adb "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-verilog_environment.adb "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-verilog_exprs.adb "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-verilog_sources.adb "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-verilog_stmts.adb "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-verilog_values.adb "verilog.ali" being checked ... -> "verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog.ads "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-allocates.adb "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-bignums.adb "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-disp_verilog.adb "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-elaborate.adb "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-errors.adb "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-nodes.adb "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-nutils.adb "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem.adb "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem_instances.adb "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem_names.adb "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-storages.adb "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-vpi.adb verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-types.ads "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem_scopes.adb "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-verilog_errors.adb "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem_utils.adb "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-tokens.adb "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-executions.adb "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-standard.ads "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-nodes_meta.adb "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-flags.ads "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-resolve_names.adb "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem_decls.adb "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem_eval.adb "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem_expr.adb "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem_stmts.adb "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem_types.adb "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sem_upwards.adb "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-abi.ads "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-simulation.adb "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sv_arrays.adb "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sv_classes.ads "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sv_maps.adb "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sv_queues.adb "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-sv_strings.adb "name_maps.ali" being checked ... -> "name_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/name_maps.adb "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-disp_tree.adb "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-bn_tables.ads "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-parse.adb "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-debugger.adb "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-scans.adb "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-macros.ads End of compilation gnatmake -v -j8 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl1" final executable "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation "ghdl1" missing. powerpc64le-linux-gnu-gnatbind-14 -aI../../src/gcc/vhdl -aOvhdl -E -x /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali powerpc64le-linux-gnu-gnatlink-14 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali -o ghdl1 --LINK=powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz -R gnatmake -v -j8 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... -> "ghdl_gcc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdl_gcc.adb "default_paths.ali" being checked ... -> "default_paths.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/default_paths.ads "ghdldrv.ali" being checked ... -> "ghdldrv.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdldrv.adb "ghdllib.ali" being checked ... -> "ghdllib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllib.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdllocal.adb "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlmain.adb "ghdlprint.ali" being checked ... -> "ghdlprint.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlprint.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdlsynth_maybe.ads "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlverilog.adb default_paths.ads:18:01: (style) horizontal tab not allowed [-gnatyh] default_paths.ads:19:01: (style) horizontal tab not allowed [-gnatyh] "ghdlvpi.ali" being checked ... -> "ghdlvpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlvpi.adb "ghdlxml.ali" being checked ... -> "ghdlxml.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlxml.adb "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlsynth.adb ghdldrv.adb:583:22: warning: value not in range of subtype of "Standard.Integer" defined at default_paths.ads:29 [enabled by default] ghdldrv.adb:583:22: warning: Constraint_Error will be raised at run time [enabled by default] ghdldrv.adb:589:35: warning: value not in range of subtype of "Standard.Integer" defined at default_paths.ads:29 [enabled by default] ghdldrv.adb:589:35: warning: Constraint_Error will be raised at run time [enabled by default] "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/ghdldrv/ghdlcomp.adb "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-find_top.adb "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/verilog-vhdl_export.adb "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_verilog.adb "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-disp_vhdl.adb "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/netlists-rename.adb "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/synth-disp_vhdl.adb "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/gcc/vhdl -I../../src/gcc/vhdl/ghdldrv -Ivhdl -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/src/gcc/vhdl/vhdl-formatters.adb End of compilation powerpc64le-linux-gnu-gnatbind-14 -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv -aIvhdl -aOvhdl -E -x ghdl_gcc.ali powerpc64le-linux-gnu-gnatlink-14 ghdl_gcc.ali -o ghdl --LINK=powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -R ../../src/gcc/lto/lto-lang.cc: In function ‘void lto_define_builtins(tree, tree)’: ../../src/gcc/lto/lto-lang.cc:707:1: note: variable tracking size limit exceeded with ‘-fvar-tracking-assignments’, retrying without 707 | lto_define_builtins (tree va_list_ref_type_node ATTRIBUTE_UNUSED, | ^~~~~~~~~~~~~~~~~~~ powerpc64le-linux-gnu-g++-14 -fno-PIE -c -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -fno-PIE -I. -Ilto -I../../src/gcc -I../../src/gcc/lto -I../../src/gcc/../include -I../../src/gcc/../libcpp/include -I../../src/gcc/../libcody -I../../src/gcc/../libdecnumber -I../../src/gcc/../libdecnumber/dpd -I../libdecnumber -I../../src/gcc/../libbacktrace -Wdate-time -D_FORTIFY_SOURCE=2 -o lto/lto-dump.o -MT lto/lto-dump.o -MMD -MP -MF lto/.deps/lto-dump.TPo ../../src/gcc/lto/lto-dump.cc Linking lto1 |>>-- | 0% powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc -o lto1 \ lto/lto-lang.o lto/lto.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a Linking lto-dump |>>>>-- | 0% powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc -o lto-dump \ lto/lto-lang.o lto/lto-object.o attribs.o lto/lto-partition.o lto/lto-symtab.o lto/lto-dump.o lto/lto-common.o libbackend.a main.o libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a Linking lto1 |==== | 50% Linking lto-dump |====== | 75% rm gcc.pod lto-dump.pod make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc' Checking multilib configuration for libgcc... mkdir -p -- powerpc64le-linux-gnu/libgcc Configuring in powerpc64le-linux-gnu/libgcc make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/c++tools' powerpc64le-linux-gnu-g++-14 -g -O2 -fPIE -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \ -MMD -MP -MF server.d -c -o server.o ../../src/c++tools/server.cc make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' powerpc64le-linux-gnu-g++-14 -g -O2 -fPIE -fno-exceptions -fno-rtti -I../../src/c++tools/../libcody -I../../src/c++tools/../include -I../../src/c++tools/../gcc -I. -I../gcc \ -MMD -MP -MF resolver.d -c -o resolver.o ../../src/c++tools/resolver.cc /usr/bin/make all-am make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c -o findcomp.lo ../../src/libcc1/findcomp.cc /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c -o libcc1.lo ../../src/libcc1/libcc1.cc /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c -o libcp1.lo ../../src/libcc1/libcp1.cc /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c -o compiler.lo ../../src/libcc1/compiler.cc /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c -o names.lo ../../src/libcc1/names.cc libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT findcomp.lo -MD -MP -MF .deps/findcomp.Tpo -c ../../src/libcc1/findcomp.cc -fPIC -DPIC -o .libs/findcomp.o libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1.lo -MD -MP -MF .deps/libcc1.Tpo -c ../../src/libcc1/libcc1.cc -fPIC -DPIC -o .libs/libcc1.o libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT names.lo -MD -MP -MF .deps/names.Tpo -c ../../src/libcc1/names.cc -fPIC -DPIC -o .libs/names.o libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT compiler.lo -MD -MP -MF .deps/compiler.Tpo -c ../../src/libcc1/compiler.cc -fPIC -DPIC -o .libs/compiler.o libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1.lo -MD -MP -MF .deps/libcp1.Tpo -c ../../src/libcc1/libcp1.cc -fPIC -DPIC -o .libs/libcp1.o mv -f .deps/names.Tpo .deps/names.Plo /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c -o callbacks.lo ../../src/libcc1/callbacks.cc libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT callbacks.lo -MD -MP -MF .deps/callbacks.Tpo -c ../../src/libcc1/callbacks.cc -fPIC -DPIC -o .libs/callbacks.o mv -f .deps/callbacks.Tpo .deps/callbacks.Plo /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c -o connection.lo ../../src/libcc1/connection.cc libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT connection.lo -MD -MP -MF .deps/connection.Tpo -c ../../src/libcc1/connection.cc -fPIC -DPIC -o .libs/connection.o configure: creating cache ./config.cache mv -f .deps/findcomp.Tpo .deps/findcomp.Plo /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c -o marshall.lo ../../src/libcc1/marshall.cc checking build system type... powerpc64le-unknown-linux-gnu checking host system type... powerpc64le-unknown-linux-gnu checking for --enable-version-specific-runtime-libs... no checking for a BSD-compatible install... /usr/bin/install -c checking for gawk... gawk libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT marshall.lo -MD -MP -MF .deps/marshall.Tpo -c ../../src/libcc1/marshall.cc -fPIC -DPIC -o .libs/marshall.o checking for powerpc64le-linux-gnu-ar... powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for powerpc64le-linux-gnu-lipo... lipo checking for powerpc64le-linux-gnu-nm... /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm checking for powerpc64le-linux-gnu-ranlib... powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so checking for powerpc64le-linux-gnu-strip... powerpc64le-linux-gnu-strip checking whether ln -s works... yes checking for powerpc64le-linux-gnu-gcc... /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include checking for suffix of object files... mv -f .deps/compiler.Tpo .deps/compiler.Plo /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c -o libcc1plugin.lo ../../src/libcc1/libcc1plugin.cc o checking whether we are using the GNU C compiler... libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcc1plugin.lo -MD -MP -MF .deps/libcc1plugin.Tpo -c ../../src/libcc1/libcc1plugin.cc -fPIC -DPIC -o .libs/libcc1plugin.o yes checking whether /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include accepts -g... mv -f .deps/connection.Tpo .deps/connection.Plo /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c -o context.lo ../../src/libcc1/context.cc yes checking for /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include option to accept ISO C89... libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT context.lo -MD -MP -MF .deps/context.Tpo -c ../../src/libcc1/context.cc -fPIC -DPIC -o .libs/context.o mv -f .deps/marshall.Tpo .deps/marshall.Plo /bin/bash ./libtool --tag=CXX --mode=compile powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c -o libcp1plugin.lo ../../src/libcc1/libcp1plugin.cc none needed checking how to run the C preprocessor... libtool: compile: powerpc64le-linux-gnu-g++-14 -DHAVE_CONFIG_H -I. -I../../src/libcc1 -I ../../src/libcc1/../include -I ../../src/libcc1/../libgcc -I ../gcc -I../../src/libcc1/../gcc -I ../../src/libcc1/../gcc/cp -I ../../src/libcc1/../gcc/c-family -I ../../src/libcc1/../libcpp/include -Wdate-time -D_FORTIFY_SOURCE=2 -W -Wall -fvisibility=hidden -g -O2 -MT libcp1plugin.lo -MD -MP -MF .deps/libcp1plugin.Tpo -c ../../src/libcc1/libcp1plugin.cc -fPIC -DPIC -o .libs/libcp1plugin.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -E checking for special C compiler options needed for large files... no checking for _FILE_OFFSET_BITS value needed for large files... no checking size of double... powerpc64le-linux-gnu-g++-14 -static-libstdc++ -static-libgcc -fPIE -o g++-mapper-server server.o resolver.o ../libcody/libcody.a ../libiberty/pic/libiberty.a 8 checking size of long double... /usr/bin/install -c g++-mapper-server ../gcc/g++-mapper-server make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/c++tools' mv -f .deps/libcc1.Tpo .deps/libcc1.Plo mv -f .deps/context.Tpo .deps/context.Plo 16 checking for inttypes.h... yes checking for stdint.h... yes checking for stdlib.h... yes checking for ftw.h... yes checking for unistd.h... yes checking for sys/stat.h... yes checking for sys/types.h... yes checking for string.h... yes checking for strings.h... yes checking for memory.h... yes checking for sys/auxv.h... yes checking for sys/mman.h... yes checking for grep that handles long lines and -e... /usr/bin/grep checking for egrep... /usr/bin/grep -E checking for ANSI C header files... yes checking whether decimal floating point is supported... yes checking whether fixed-point is supported... mv -f .deps/libcp1.Tpo .deps/libcp1.Plo no checking whether the compiler is configured for setjmp/longjmp exceptions... /bin/bash ./libtool --tag=CXX --mode=link powerpc64le-linux-gnu-g++-14 -W -Wall -fvisibility=hidden -g -O2 -module -export-symbols ../../src/libcc1/libcc1.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1.la -rpath /usr/lib/ghdl/gcc/lib/../lib findcomp.lo libcc1.lo libcp1.lo compiler.lo names.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a no checking for CET support... no checking if the linker (/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/collect-ld) is GNU ld... yes checking for thread model used by GCC... posix checking whether assembler supports CFI directives... yes libtool: link: powerpc64le-linux-gnu-g++-14 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/powerpc64le-linux-gnu/14/../../../powerpc64le-linux-gnu/crti.o /usr/lib/gcc/powerpc64le-linux-gnu/14/crtbeginS.o .libs/findcomp.o .libs/libcc1.o .libs/libcp1.o .libs/compiler.o .libs/names.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/powerpc64le-linux-gnu/14 -L/usr/lib/gcc/powerpc64le-linux-gnu/14/../../../powerpc64le-linux-gnu -L/usr/lib/gcc/powerpc64le-linux-gnu/14/../../../../lib -L/lib/powerpc64le-linux-gnu -L/lib/../lib -L/usr/lib/powerpc64le-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/powerpc64le-linux-gnu/14/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/powerpc64le-linux-gnu/14/crtendS.o /usr/lib/gcc/powerpc64le-linux-gnu/14/../../../powerpc64le-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1.sym -o .libs/libcc1.so.0.0.0 checking for target glibc version... libtool: link: (cd ".libs" && rm -f "libcc1.so.0" && ln -s "libcc1.so.0.0.0" "libcc1.so.0") libtool: link: (cd ".libs" && rm -f "libcc1.so" && ln -s "libcc1.so.0.0.0" "libcc1.so") libtool: link: ( cd ".libs" && rm -f "libcc1.la" && ln -s "../libcc1.la" "libcc1.la" ) 2.41 checking for PowerPC ISA 2.06 to build __float128 libraries... yes checking for PowerPC ISA 3.0 to build hardware __float128 libraries... yes checking for PowerPC ISA 3.1 to build hardware __float128 libraries... yes checking for __attribute__((visibility("hidden")))... yes checking for .cfi_sections .debug_frame... yes checking whether the target assembler supports thread-local storage... yes checking whether the thread-local storage support is from emutls... no checking for init priority support... yes checking for strub support... yes mv -f .deps/libcc1plugin.Tpo .deps/libcc1plugin.Plo /bin/bash ./libtool --tag=CXX --mode=link powerpc64le-linux-gnu-g++-14 -W -Wall -fvisibility=hidden -g -O2 -module -export-symbols ../../src/libcc1/libcc1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcc1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin libcc1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a configure: updating cache ./config.cache configure: creating ./config.status libtool: link: powerpc64le-linux-gnu-g++-14 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/powerpc64le-linux-gnu/14/../../../powerpc64le-linux-gnu/crti.o /usr/lib/gcc/powerpc64le-linux-gnu/14/crtbeginS.o .libs/libcc1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/powerpc64le-linux-gnu/14 -L/usr/lib/gcc/powerpc64le-linux-gnu/14/../../../powerpc64le-linux-gnu -L/usr/lib/gcc/powerpc64le-linux-gnu/14/../../../../lib -L/lib/powerpc64le-linux-gnu -L/lib/../lib -L/usr/lib/powerpc64le-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/powerpc64le-linux-gnu/14/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/powerpc64le-linux-gnu/14/crtendS.o /usr/lib/gcc/powerpc64le-linux-gnu/14/../../../powerpc64le-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcc1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcc1plugin.sym -o .libs/libcc1plugin.so.0.0.0 config.status: creating Makefile config.status: creating auto-target.h config.status: executing default commands libtool: link: (cd ".libs" && rm -f "libcc1plugin.so.0" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so.0") libtool: link: (cd ".libs" && rm -f "libcc1plugin.so" && ln -s "libcc1plugin.so.0.0.0" "libcc1plugin.so") libtool: link: ( cd ".libs" && rm -f "libcc1plugin.la" && ln -s "../libcc1plugin.la" "libcc1plugin.la" ) make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' # If this is the top-level multilib, build all the other ln -s ../../../src/libgcc/enable-execute-stack-empty.c enable-execute-stack.c ln -s ../../../src/libgcc/unwind-generic.h unwind.h # multilibs. ln -s ../../../src/libgcc/config/rs6000/linux-unwind.h md-unwind-support.h ln -s ../../../src/libgcc/config/rs6000/sfp-machine.h sfp-machine.h ln -s ../../../src/libgcc/gthr-posix.h gthr-default.h DEFINES='' HEADERS='' \ ../../../src/libgcc/mkheader.sh > tmp-libgcc_tm.h /bin/bash ../../../src/libgcc/../move-if-change tmp-libgcc_tm.h libgcc_tm.h /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o eabi_s.o -MT eabi_s.o -MD -MP -MF eabi_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/eabi.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavfpr_s.o -MT crtsavfpr_s.o -MD -MP -MF crtsavfpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtsavfpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresfpr_s.o -MT crtresfpr_s.o -MD -MP -MF crtresfpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtresfpr.S echo timestamp > libgcc_tm.stamp /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavgpr_s.o -MT crtsavgpr_s.o -MD -MP -MF crtsavgpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtsavgpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresgpr_s.o -MT crtresgpr_s.o -MD -MP -MF crtresgpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtresgpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresxfpr_s.o -MT crtresxfpr_s.o -MD -MP -MF crtresxfpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtresxfpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresxgpr_s.o -MT crtresxgpr_s.o -MD -MP -MF crtresxgpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtresxgpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavevr_s.o -MT crtsavevr_s.o -MD -MP -MF crtsavevr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtsavevr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtrestvr_s.o -MT crtrestvr_s.o -MD -MP -MF crtrestvr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/crtrestvr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres32gpr_s.o -MT e500crtres32gpr_s.o -MD -MP -MF e500crtres32gpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtres32gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres64gpr_s.o -MT e500crtres64gpr_s.o -MD -MP -MF e500crtres64gpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtres64gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres64gprctr_s.o -MT e500crtres64gprctr_s.o -MD -MP -MF e500crtres64gprctr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtres64gprctr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtrest32gpr_s.o -MT e500crtrest32gpr_s.o -MD -MP -MF e500crtrest32gpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtrest32gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtrest64gpr_s.o -MT e500crtrest64gpr_s.o -MD -MP -MF e500crtrest64gpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtrest64gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtresx32gpr_s.o -MT e500crtresx32gpr_s.o -MD -MP -MF e500crtresx32gpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtresx32gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtresx64gpr_s.o -MT e500crtresx64gpr_s.o -MD -MP -MF e500crtresx64gpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtresx64gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav32gpr_s.o -MT e500crtsav32gpr_s.o -MD -MP -MF e500crtsav32gpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsav32gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav64gpr_s.o -MT e500crtsav64gpr_s.o -MD -MP -MF e500crtsav64gpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsav64gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav64gprctr_s.o -MT e500crtsav64gprctr_s.o -MD -MP -MF e500crtsav64gprctr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsav64gprctr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg32gpr_s.o -MT e500crtsavg32gpr_s.o -MD -MP -MF e500crtsavg32gpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsavg32gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg64gpr_s.o -MT e500crtsavg64gpr_s.o -MD -MP -MF e500crtsavg64gpr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsavg64gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg64gprctr_s.o -MT e500crtsavg64gprctr_s.o -MD -MP -MF e500crtsavg64gprctr_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/e500crtsavg64gprctr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o morestack_s.o -MT morestack_s.o -MD -MP -MF morestack_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/morestack.S Create addkf3-sw.c Create subkf3-sw.c Create mulkf3-sw.c Create divkf3-sw.c Create negkf2-sw.c Create unordkf2-sw.c Create gekf2-sw.c Create eqkf2-sw.c Create lekf2-sw.c Create extendsfkf2-sw.c Create extenddfkf2-sw.c Create trunckfsf2-sw.c Create trunckfdf2-sw.c Create fixkfsi-sw.c Create fixkfdi-sw.c Create fixunskfsi-sw.c Create floatsikf-sw.c Create fixunskfdi-sw.c Create floatunsikf-sw.c Create floatdikf-sw.c Create floatundikf-sw.c (echo "#define __mulkc3 __mulkc3_hw"; \ cat ../../../src/libgcc/config/rs6000/_mulkc3.c) > _mulkc3-hw.c (echo "#define __divkc3 __divkc3_hw"; \ cat ../../../src/libgcc/config/rs6000/_divkc3.c) > _divkc3-hw.c (echo "#define __powikf2 __powikf2_hw"; \ cat ../../../src/libgcc/config/rs6000/_powikf2.c) > _powikf2-hw.c sed -e 's/__PFX__/__/g' \ -e 's/__FIXPTPFX__/__/g' < ../../../src/libgcc/libgcc-std.ver.in > libgcc-std.ver dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg eabi_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > eabi.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg crtsavfpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtsavfpr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg crtresfpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtresfpr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg crtsavgpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtsavgpr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg crtresgpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtresgpr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg crtresxfpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtresxfpr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg crtresxgpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtresxgpr.visT mv -f crtresxgpr.visT crtresxgpr.vis mv -f eabi.visT eabi.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg crtsavevr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtsavevr.visT mv -f crtresgpr.visT crtresgpr.vis mv -f crtsavfpr.visT crtsavfpr.vis mv -f crtresfpr.visT crtresfpr.vis mv -f crtsavgpr.visT crtsavgpr.vis mv -f crtresxfpr.visT crtresxfpr.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg crtrestvr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > crtrestvr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtres32gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtres32gpr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtres64gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtres64gpr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtres64gprctr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtres64gprctr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtrest32gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtrest32gpr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtrest64gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtrest64gpr.visT mv -f crtsavevr.visT crtsavevr.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtresx32gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtresx32gpr.visT mv -f e500crtres64gprctr.visT e500crtres64gprctr.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtresx64gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtresx64gpr.visT mv -f crtrestvr.visT crtrestvr.vis mv -f e500crtres32gpr.visT e500crtres32gpr.vis mv -f e500crtrest64gpr.visT e500crtrest64gpr.vis mv -f e500crtres64gpr.visT e500crtres64gpr.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtsav32gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsav32gpr.visT mv -f e500crtrest32gpr.visT e500crtrest32gpr.vis mv -f e500crtresx64gpr.visT e500crtresx64gpr.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtsav64gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsav64gpr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtsav64gprctr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsav64gprctr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtsavg32gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsavg32gpr.visT mv -f e500crtresx32gpr.visT e500crtresx32gpr.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtsavg64gpr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsavg64gpr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg e500crtsavg64gprctr_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > e500crtsavg64gprctr.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o generic-morestack.o -MT generic-morestack.o -MD -MP -MF generic-morestack.dep -c ../../../src/libgcc/generic-morestack.c -fvisibility=hidden -DHIDE_EXPORTS mv -f e500crtsav64gprctr.visT e500crtsav64gprctr.vis mv -f e500crtsav32gpr.visT e500crtsav32gpr.vis mv -f e500crtsavg32gpr.visT e500crtsavg32gpr.vis mv -f e500crtsav64gpr.visT e500crtsav64gpr.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o generic-morestack-thread.o -MT generic-morestack-thread.o -MD -MP -MF generic-morestack-thread.dep -c ../../../src/libgcc/generic-morestack-thread.c -fvisibility=hidden -DHIDE_EXPORTS mv -f e500crtsavg64gpr.visT e500crtsavg64gpr.vis mv -f e500crtsavg64gprctr.visT e500crtsavg64gprctr.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg morestack_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > morestack.visT /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o addkf3-sw.o -MT addkf3-sw.o -MD -MP -MF addkf3-sw.dep -c addkf3-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o subkf3-sw.o -MT subkf3-sw.o -MD -MP -MF subkf3-sw.dep -c subkf3-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o mulkf3-sw.o -MT mulkf3-sw.o -MD -MP -MF mulkf3-sw.dep -c mulkf3-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o divkf3-sw.o -MT divkf3-sw.o -MD -MP -MF divkf3-sw.dep -c divkf3-sw.c -fvisibility=hidden -DHIDE_EXPORTS mv -f morestack.visT morestack.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o negkf2-sw.o -MT negkf2-sw.o -MD -MP -MF negkf2-sw.dep -c negkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o unordkf2-sw.o -MT unordkf2-sw.o -MD -MP -MF unordkf2-sw.dep -c unordkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o eqkf2-sw.o -MT eqkf2-sw.o -MD -MP -MF eqkf2-sw.dep -c eqkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o gekf2-sw.o -MT gekf2-sw.o -MD -MP -MF gekf2-sw.dep -c gekf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o lekf2-sw.o -MT lekf2-sw.o -MD -MP -MF lekf2-sw.dep -c lekf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o extendsfkf2-sw.o -MT extendsfkf2-sw.o -MD -MP -MF extendsfkf2-sw.dep -c extendsfkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o extenddfkf2-sw.o -MT extenddfkf2-sw.o -MD -MP -MF extenddfkf2-sw.dep -c extenddfkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o trunckfsf2-sw.o -MT trunckfsf2-sw.o -MD -MP -MF trunckfsf2-sw.dep -c trunckfsf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o trunckfdf2-sw.o -MT trunckfdf2-sw.o -MD -MP -MF trunckfdf2-sw.dep -c trunckfdf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o fixkfsi-sw.o -MT fixkfsi-sw.o -MD -MP -MF fixkfsi-sw.dep -c fixkfsi-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o fixkfdi-sw.o -MT fixkfdi-sw.o -MD -MP -MF fixkfdi-sw.dep -c fixkfdi-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o fixunskfsi-sw.o -MT fixunskfsi-sw.o -MD -MP -MF fixunskfsi-sw.dep -c fixunskfsi-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o fixunskfdi-sw.o -MT fixunskfdi-sw.o -MD -MP -MF fixunskfdi-sw.dep -c fixunskfdi-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o floatsikf-sw.o -MT floatsikf-sw.o -MD -MP -MF floatsikf-sw.dep -c floatsikf-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o floatdikf-sw.o -MT floatdikf-sw.o -MD -MP -MF floatdikf-sw.dep -c floatdikf-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o floatunsikf-sw.o -MT floatunsikf-sw.o -MD -MP -MF floatunsikf-sw.dep -c floatunsikf-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o floatundikf-sw.o -MT floatundikf-sw.o -MD -MP -MF floatundikf-sw.dep -c floatundikf-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o floattikf-sw.o -MT floattikf-sw.o -MD -MP -MF floattikf-sw.dep -c ../../../src/libgcc/config/rs6000/floattikf-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o floatuntikf-sw.o -MT floatuntikf-sw.o -MD -MP -MF floatuntikf-sw.dep -c ../../../src/libgcc/config/rs6000/floatuntikf-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o fixkfti-sw.o -MT fixkfti-sw.o -MD -MP -MF fixkfti-sw.dep -c ../../../src/libgcc/config/rs6000/fixkfti-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o fixunskfti-sw.o -MT fixunskfti-sw.o -MD -MP -MF fixunskfti-sw.dep -c ../../../src/libgcc/config/rs6000/fixunskfti-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o extendkftf2-sw.o -MT extendkftf2-sw.o -MD -MP -MF extendkftf2-sw.dep -c ../../../src/libgcc/config/rs6000/extendkftf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o trunctfkf2-sw.o -MT trunctfkf2-sw.o -MD -MP -MF trunctfkf2-sw.dep -c ../../../src/libgcc/config/rs6000/trunctfkf2-sw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o sfp-exceptions.o -MT sfp-exceptions.o -MD -MP -MF sfp-exceptions.dep -c ../../../src/libgcc/config/rs6000/sfp-exceptions.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o _mulkc3.o -MT _mulkc3.o -MD -MP -MF _mulkc3.dep -c ../../../src/libgcc/config/rs6000/_mulkc3.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o _divkc3.o -MT _divkc3.o -MD -MP -MF _divkc3.dep -c ../../../src/libgcc/config/rs6000/_divkc3.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o _powikf2.o -MT _powikf2.o -MD -MP -MF _powikf2.dep -c ../../../src/libgcc/config/rs6000/_powikf2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -mno-gnu-attribute -Wno-psabi -mabi=ieeelongdouble -o _kf_to_sd.o -MT _kf_to_sd.o -MD -MP -MF _kf_to_sd.dep -c ../../../src/libgcc/config/rs6000/_kf_to_sd.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -mno-gnu-attribute -Wno-psabi -mabi=ieeelongdouble -o _kf_to_dd.o -MT _kf_to_dd.o -MD -MP -MF _kf_to_dd.dep -c ../../../src/libgcc/config/rs6000/_kf_to_dd.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -mno-gnu-attribute -Wno-psabi -mabi=ieeelongdouble -o _kf_to_td.o -MT _kf_to_td.o -MD -MP -MF _kf_to_td.dep -c ../../../src/libgcc/config/rs6000/_kf_to_td.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -mno-gnu-attribute -Wno-psabi -mabi=ieeelongdouble -o _sd_to_kf.o -MT _sd_to_kf.o -MD -MP -MF _sd_to_kf.dep -c ../../../src/libgcc/config/rs6000/_sd_to_kf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -mno-gnu-attribute -Wno-psabi -mabi=ieeelongdouble -o _dd_to_kf.o -MT _dd_to_kf.o -MD -MP -MF _dd_to_kf.dep -c ../../../src/libgcc/config/rs6000/_dd_to_kf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -mno-gnu-attribute -Wno-psabi -mabi=ieeelongdouble -o _td_to_kf.o -MT _td_to_kf.o -MD -MP -MF _td_to_kf.dep -c ../../../src/libgcc/config/rs6000/_td_to_kf.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mcpu=power9 -mfloat128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o float128-hw.o -MT float128-hw.o -MD -MP -MF float128-hw.dep -c ../../../src/libgcc/config/rs6000/float128-hw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mcpu=power9 -mfloat128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o _mulkc3-hw.o -MT _mulkc3-hw.o -MD -MP -MF _mulkc3-hw.dep -c _mulkc3-hw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mcpu=power9 -mfloat128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o _divkc3-hw.o -MT _divkc3-hw.o -MD -MP -MF _divkc3-hw.dep -c _divkc3-hw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mcpu=power9 -mfloat128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o _powikf2-hw.o -MT _powikf2-hw.o -MD -MP -MF _powikf2-hw.dep -c _powikf2-hw.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mno-float128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o float128-ifunc.o -MT float128-ifunc.o -MD -MP -MF float128-ifunc.dep -c ../../../src/libgcc/config/rs6000/float128-ifunc.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-type-limits -mvsx -mfloat128 -mcpu=power10 -mfloat128-hardware -mno-gnu-attribute -I../../../src/libgcc/soft-fp -I../../../src/libgcc/config/rs6000 -DFLOAT128_HW_INSNS -DFLOAT128_HW_INSNS_ISA3_1 -o float128-p10.o -MT float128-p10.o -MD -MP -MF float128-p10.dep -c ../../../src/libgcc/config/rs6000/float128-p10.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_add.o -MT _gcov_merge_add.o -MD -MP -MF _gcov_merge_add.dep -DL_gcov_merge_add -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_topn.o -MT _gcov_merge_topn.o -MD -MP -MF _gcov_merge_topn.dep -DL_gcov_merge_topn -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_ior.o -MT _gcov_merge_ior.o -MD -MP -MF _gcov_merge_ior.dep -DL_gcov_merge_ior -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_merge_time_profile.o -MT _gcov_merge_time_profile.o -MD -MP -MF _gcov_merge_time_profile.dep -DL_gcov_merge_time_profile -c ../../../src/libgcc/libgcov-merge.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_interval_profiler.o -MT _gcov_interval_profiler.o -MD -MP -MF _gcov_interval_profiler.dep -DL_gcov_interval_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_interval_profiler_atomic.o -MT _gcov_interval_profiler_atomic.o -MD -MP -MF _gcov_interval_profiler_atomic.dep -DL_gcov_interval_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_pow2_profiler.o -MT _gcov_pow2_profiler.o -MD -MP -MF _gcov_pow2_profiler.dep -DL_gcov_pow2_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_pow2_profiler_atomic.o -MT _gcov_pow2_profiler_atomic.o -MD -MP -MF _gcov_pow2_profiler_atomic.dep -DL_gcov_pow2_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_topn_values_profiler.o -MT _gcov_topn_values_profiler.o -MD -MP -MF _gcov_topn_values_profiler.dep -DL_gcov_topn_values_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_topn_values_profiler_atomic.o -MT _gcov_topn_values_profiler_atomic.o -MD -MP -MF _gcov_topn_values_profiler_atomic.dep -DL_gcov_topn_values_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_average_profiler.o -MT _gcov_average_profiler.o -MD -MP -MF _gcov_average_profiler.dep -DL_gcov_average_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_average_profiler_atomic.o -MT _gcov_average_profiler_atomic.o -MD -MP -MF _gcov_average_profiler_atomic.dep -DL_gcov_average_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_ior_profiler.o -MT _gcov_ior_profiler.o -MD -MP -MF _gcov_ior_profiler.dep -DL_gcov_ior_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_ior_profiler_atomic.o -MT _gcov_ior_profiler_atomic.o -MD -MP -MF _gcov_ior_profiler_atomic.dep -DL_gcov_ior_profiler_atomic -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_indirect_call_profiler_v4.o -MT _gcov_indirect_call_profiler_v4.o -MD -MP -MF _gcov_indirect_call_profiler_v4.dep -DL_gcov_indirect_call_profiler_v4 -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_time_profiler.o -MT _gcov_time_profiler.o -MD -MP -MF _gcov_time_profiler.dep -DL_gcov_time_profiler -c ../../../src/libgcc/libgcov-profiler.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_dump.o -MT _gcov_dump.o -MD -MP -MF _gcov_dump.dep -DL_gcov_dump -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_fork.o -MT _gcov_fork.o -MD -MP -MF _gcov_fork.dep -DL_gcov_fork -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execl.o -MT _gcov_execl.o -MD -MP -MF _gcov_execl.dep -DL_gcov_execl -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execlp.o -MT _gcov_execlp.o -MD -MP -MF _gcov_execlp.dep -DL_gcov_execlp -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execle.o -MT _gcov_execle.o -MD -MP -MF _gcov_execle.dep -DL_gcov_execle -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execv.o -MT _gcov_execv.o -MD -MP -MF _gcov_execv.dep -DL_gcov_execv -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execvp.o -MT _gcov_execvp.o -MD -MP -MF _gcov_execvp.dep -DL_gcov_execvp -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_execve.o -MT _gcov_execve.o -MD -MP -MF _gcov_execve.dep -DL_gcov_execve -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_reset.o -MT _gcov_reset.o -MD -MP -MF _gcov_reset.dep -DL_gcov_reset -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_lock_unlock.o -MT _gcov_lock_unlock.o -MD -MP -MF _gcov_lock_unlock.dep -DL_gcov_lock_unlock -c ../../../src/libgcc/libgcov-interface.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov.o -MT _gcov.o -MD -MP -MF _gcov.dep -DL_gcov -c ../../../src/libgcc/libgcov-driver.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gcov_info_to_gcda.o -MT _gcov_info_to_gcda.o -MD -MP -MF _gcov_info_to_gcda.dep -DL_gcov_info_to_gcda -c ../../../src/libgcc/libgcov-driver.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2.o -MT unwind-dw2.o -MD -MP -MF unwind-dw2.dep -fexceptions -c ../../../src/libgcc/unwind-dw2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2-fde-dip.o -MT unwind-dw2-fde-dip.o -MD -MP -MF unwind-dw2-fde-dip.dep -fexceptions -c ../../../src/libgcc/unwind-dw2-fde-dip.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-sjlj.o -MT unwind-sjlj.o -MD -MP -MF unwind-sjlj.dep -fexceptions -c ../../../src/libgcc/unwind-sjlj.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-c.o -MT unwind-c.o -MD -MP -MF unwind-c.dep -fexceptions -c ../../../src/libgcc/unwind-c.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o emutls.o -MT emutls.o -MD -MP -MF emutls.dep -fexceptions -c ../../../src/libgcc/emutls.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldi3_s.o -MT _muldi3_s.o -MD -MP -MF _muldi3_s.dep -DSHARED -mno-gnu-attribute -DL_muldi3 -c ../../../src/libgcc/libgcc2.c In file included from ../../../src/libgcc/unwind-dw2.c:410: ./md-unwind-support.h: In function ‘ppc_backchain_fallback’: ./md-unwind-support.h:409:31: warning: comparison between pointer and integer 409 | if (arg == NULL || arg->cfa != current) | ^~ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negdi2_s.o -MT _negdi2_s.o -MD -MP -MF _negdi2_s.dep -DSHARED -mno-gnu-attribute -DL_negdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lshrdi3_s.o -MT _lshrdi3_s.o -MD -MP -MF _lshrdi3_s.dep -DSHARED -mno-gnu-attribute -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashldi3_s.o -MT _ashldi3_s.o -MD -MP -MF _ashldi3_s.dep -DSHARED -mno-gnu-attribute -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashrdi3_s.o -MT _ashrdi3_s.o -MD -MP -MF _ashrdi3_s.dep -DSHARED -mno-gnu-attribute -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _cmpdi2_s.o -MT _cmpdi2_s.o -MD -MP -MF _cmpdi2_s.dep -DSHARED -mno-gnu-attribute -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ucmpdi2_s.o -MT _ucmpdi2_s.o -MD -MP -MF _ucmpdi2_s.dep -DSHARED -mno-gnu-attribute -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clear_cache_s.o -MT _clear_cache_s.o -MD -MP -MF _clear_cache_s.dep -DSHARED -mno-gnu-attribute -DL_clear_cache -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _trampoline_s.o -MT _trampoline_s.o -MD -MP -MF _trampoline_s.dep -DSHARED -mno-gnu-attribute -DL_trampoline -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o __main_s.o -MT __main_s.o -MD -MP -MF __main_s.dep -DSHARED -mno-gnu-attribute -DL__main -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvsi2_s.o -MT _absvsi2_s.o -MD -MP -MF _absvsi2_s.dep -DSHARED -mno-gnu-attribute -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvdi2_s.o -MT _absvdi2_s.o -MD -MP -MF _absvdi2_s.dep -DSHARED -mno-gnu-attribute -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvsi3_s.o -MT _addvsi3_s.o -MD -MP -MF _addvsi3_s.dep -DSHARED -mno-gnu-attribute -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvdi3_s.o -MT _addvdi3_s.o -MD -MP -MF _addvdi3_s.dep -DSHARED -mno-gnu-attribute -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvsi3_s.o -MT _subvsi3_s.o -MD -MP -MF _subvsi3_s.dep -DSHARED -mno-gnu-attribute -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvdi3_s.o -MT _subvdi3_s.o -MD -MP -MF _subvdi3_s.dep -DSHARED -mno-gnu-attribute -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvsi3_s.o -MT _mulvsi3_s.o -MD -MP -MF _mulvsi3_s.dep -DSHARED -mno-gnu-attribute -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvdi3_s.o -MT _mulvdi3_s.o -MD -MP -MF _mulvdi3_s.dep -DSHARED -mno-gnu-attribute -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvsi2_s.o -MT _negvsi2_s.o -MD -MP -MF _negvsi2_s.dep -DSHARED -mno-gnu-attribute -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvdi2_s.o -MT _negvdi2_s.o -MD -MP -MF _negvdi2_s.dep -DSHARED -mno-gnu-attribute -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctors_s.o -MT _ctors_s.o -MD -MP -MF _ctors_s.dep -DSHARED -mno-gnu-attribute -DL_ctors -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffssi2_s.o -MT _ffssi2_s.o -MD -MP -MF _ffssi2_s.dep -DSHARED -mno-gnu-attribute -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffsdi2_s.o -MT _ffsdi2_s.o -MD -MP -MF _ffsdi2_s.dep -DSHARED -mno-gnu-attribute -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clz_s.o -MT _clz_s.o -MD -MP -MF _clz_s.dep -DSHARED -mno-gnu-attribute -DL_clz -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzsi2_s.o -MT _clzsi2_s.o -MD -MP -MF _clzsi2_s.dep -DSHARED -mno-gnu-attribute -DL_clzsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzdi2_s.o -MT _clzdi2_s.o -MD -MP -MF _clzdi2_s.dep -DSHARED -mno-gnu-attribute -DL_clzdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzsi2_s.o -MT _ctzsi2_s.o -MD -MP -MF _ctzsi2_s.dep -DSHARED -mno-gnu-attribute -DL_ctzsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzdi2_s.o -MT _ctzdi2_s.o -MD -MP -MF _ctzdi2_s.dep -DSHARED -mno-gnu-attribute -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcount_tab_s.o -MT _popcount_tab_s.o -MD -MP -MF _popcount_tab_s.dep -DSHARED -mno-gnu-attribute -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountsi2_s.o -MT _popcountsi2_s.o -MD -MP -MF _popcountsi2_s.dep -DSHARED -mno-gnu-attribute -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountdi2_s.o -MT _popcountdi2_s.o -MD -MP -MF _popcountdi2_s.dep -DSHARED -mno-gnu-attribute -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritysi2_s.o -MT _paritysi2_s.o -MD -MP -MF _paritysi2_s.dep -DSHARED -mno-gnu-attribute -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritydi2_s.o -MT _paritydi2_s.o -MD -MP -MF _paritydi2_s.dep -DSHARED -mno-gnu-attribute -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powisf2_s.o -MT _powisf2_s.o -MD -MP -MF _powisf2_s.dep -DSHARED -mno-gnu-attribute -DL_powisf2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powidf2_s.o -MT _powidf2_s.o -MD -MP -MF _powidf2_s.dep -DSHARED -mno-gnu-attribute -DL_powidf2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powixf2_s.o -MT _powixf2_s.o -MD -MP -MF _powixf2_s.dep -DSHARED -mno-gnu-attribute -DL_powixf2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _powitf2_s.o -MT _powitf2_s.o -MD -MP -MF _powitf2_s.dep -DSHARED -mno-gnu-attribute -DL_powitf2 -c ../../../src/libgcc/libgcc2.c mv -f .deps/libcp1plugin.Tpo .deps/libcp1plugin.Plo /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulhc3_s.o -MT _mulhc3_s.o -MD -MP -MF _mulhc3_s.dep -DSHARED -mno-gnu-attribute -DL_mulhc3 -c ../../../src/libgcc/libgcc2.c /bin/bash ./libtool --tag=CXX --mode=link powerpc64le-linux-gnu-g++-14 -W -Wall -fvisibility=hidden -g -O2 -module -export-symbols ../../src/libcc1/libcp1plugin.sym -Xcompiler '-static-libstdc++' -Xcompiler '-static-libgcc' -o libcp1plugin.la -rpath /usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin libcp1plugin.lo context.lo callbacks.lo connection.lo marshall.lo -Wc,../libiberty/pic/libiberty.a /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulsc3_s.o -MT _mulsc3_s.o -MD -MP -MF _mulsc3_s.dep -DSHARED -mno-gnu-attribute -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldc3_s.o -MT _muldc3_s.o -MD -MP -MF _muldc3_s.dep -DSHARED -mno-gnu-attribute -DL_muldc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulxc3_s.o -MT _mulxc3_s.o -MD -MP -MF _mulxc3_s.dep -DSHARED -mno-gnu-attribute -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _multc3_s.o -MT _multc3_s.o -MD -MP -MF _multc3_s.dep -DSHARED -mno-gnu-attribute -DL_multc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divhc3_s.o -MT _divhc3_s.o -MD -MP -MF _divhc3_s.dep -DSHARED -mno-gnu-attribute -DL_divhc3 -c ../../../src/libgcc/libgcc2.c libtool: link: powerpc64le-linux-gnu-g++-14 -fPIC -DPIC -shared -nostdlib /usr/lib/gcc/powerpc64le-linux-gnu/14/../../../powerpc64le-linux-gnu/crti.o /usr/lib/gcc/powerpc64le-linux-gnu/14/crtbeginS.o .libs/libcp1plugin.o .libs/context.o .libs/callbacks.o .libs/connection.o .libs/marshall.o -L/usr/lib/gcc/powerpc64le-linux-gnu/14 -L/usr/lib/gcc/powerpc64le-linux-gnu/14/../../../powerpc64le-linux-gnu -L/usr/lib/gcc/powerpc64le-linux-gnu/14/../../../../lib -L/lib/powerpc64le-linux-gnu -L/lib/../lib -L/usr/lib/powerpc64le-linux-gnu -L/usr/lib/../lib -L/usr/lib/gcc/powerpc64le-linux-gnu/14/../../.. -lstdc++ -lm -lc -lgcc_s /usr/lib/gcc/powerpc64le-linux-gnu/14/crtendS.o /usr/lib/gcc/powerpc64le-linux-gnu/14/../../../powerpc64le-linux-gnu/crtn.o -static-libstdc++ -static-libgcc ../libiberty/pic/libiberty.a -Wl,-soname -Wl,libcp1plugin.so.0 -Wl,-retain-symbols-file -Wl,../../src/libcc1/libcp1plugin.sym -o .libs/libcp1plugin.so.0.0.0 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divsc3_s.o -MT _divsc3_s.o -MD -MP -MF _divsc3_s.dep -DSHARED -mno-gnu-attribute -DL_divsc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdc3_s.o -MT _divdc3_s.o -MD -MP -MF _divdc3_s.dep -DSHARED -mno-gnu-attribute -DL_divdc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divxc3_s.o -MT _divxc3_s.o -MD -MP -MF _divxc3_s.dep -DSHARED -mno-gnu-attribute -DL_divxc3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _divtc3_s.o -MT _divtc3_s.o -MD -MP -MF _divtc3_s.dep -DSHARED -mno-gnu-attribute -DL_divtc3 -c ../../../src/libgcc/libgcc2.c libtool: link: (cd ".libs" && rm -f "libcp1plugin.so.0" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so.0") /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapsi2_s.o -MT _bswapsi2_s.o -MD -MP -MF _bswapsi2_s.dep -DSHARED -mno-gnu-attribute -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapdi2_s.o -MT _bswapdi2_s.o -MD -MP -MF _bswapdi2_s.dep -DSHARED -mno-gnu-attribute -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbsi2_s.o -MT _clrsbsi2_s.o -MD -MP -MF _clrsbsi2_s.dep -DSHARED -mno-gnu-attribute -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c libtool: link: (cd ".libs" && rm -f "libcp1plugin.so" && ln -s "libcp1plugin.so.0.0.0" "libcp1plugin.so") /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbdi2_s.o -MT _clrsbdi2_s.o -MD -MP -MF _clrsbdi2_s.dep -DSHARED -mno-gnu-attribute -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c libtool: link: ( cd ".libs" && rm -f "libcp1plugin.la" && ln -s "../libcp1plugin.la" "libcp1plugin.la" ) make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulbitint3_s.o -MT _mulbitint3_s.o -MD -MP -MF _mulbitint3_s.dep -DSHARED -mno-gnu-attribute -DL_mulbitint3 -c ../../../src/libgcc/libgcc2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfsi_s.o -MT _fixunssfsi_s.o -MD -MP -MF _fixunssfsi_s.dep -DSHARED -mno-gnu-attribute -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfsi_s.o -MT _fixunsdfsi_s.o -MD -MP -MF _fixunsdfsi_s.dep -DSHARED -mno-gnu-attribute -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfsi_s.o -MT _fixunsxfsi_s.o -MD -MP -MF _fixunsxfsi_s.dep -DSHARED -mno-gnu-attribute -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixsfdi_s.o -MT _fixsfdi_s.o -MD -MP -MF _fixsfdi_s.dep -DSHARED -mno-gnu-attribute -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixdfdi_s.o -MT _fixdfdi_s.o -MD -MP -MF _fixdfdi_s.dep -DSHARED -mno-gnu-attribute -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixxfdi_s.o -MT _fixxfdi_s.o -MD -MP -MF _fixxfdi_s.dep -DSHARED -mno-gnu-attribute -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _fixtfdi_s.o -MT _fixtfdi_s.o -MD -MP -MF _fixtfdi_s.dep -DSHARED -mno-gnu-attribute -DL_fixtfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfdi_s.o -MT _fixunssfdi_s.o -MD -MP -MF _fixunssfdi_s.dep -DSHARED -mno-gnu-attribute -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfdi_s.o -MT _fixunsdfdi_s.o -MD -MP -MF _fixunsdfdi_s.dep -DSHARED -mno-gnu-attribute -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfdi_s.o -MT _fixunsxfdi_s.o -MD -MP -MF _fixunsxfdi_s.dep -DSHARED -mno-gnu-attribute -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _fixunstfdi_s.o -MT _fixunstfdi_s.o -MD -MP -MF _fixunstfdi_s.dep -DSHARED -mno-gnu-attribute -DL_fixunstfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdisf_s.o -MT _floatdisf_s.o -MD -MP -MF _floatdisf_s.dep -DSHARED -mno-gnu-attribute -DL_floatdisf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdidf_s.o -MT _floatdidf_s.o -MD -MP -MF _floatdidf_s.dep -DSHARED -mno-gnu-attribute -DL_floatdidf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdixf_s.o -MT _floatdixf_s.o -MD -MP -MF _floatdixf_s.dep -DSHARED -mno-gnu-attribute -DL_floatdixf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _floatditf_s.o -MT _floatditf_s.o -MD -MP -MF _floatditf_s.dep -DSHARED -mno-gnu-attribute -DL_floatditf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundisf_s.o -MT _floatundisf_s.o -MD -MP -MF _floatundisf_s.dep -DSHARED -mno-gnu-attribute -DL_floatundisf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundidf_s.o -MT _floatundidf_s.o -MD -MP -MF _floatundidf_s.dep -DSHARED -mno-gnu-attribute -DL_floatundidf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundixf_s.o -MT _floatundixf_s.o -MD -MP -MF _floatundixf_s.dep -DSHARED -mno-gnu-attribute -DL_floatundixf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _floatunditf_s.o -MT _floatunditf_s.o -MD -MP -MF _floatunditf_s.dep -DSHARED -mno-gnu-attribute -DL_floatunditf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixsfti_s.o -MT _fixsfti_s.o -MD -MP -MF _fixsfti_s.dep -DSHARED -mno-gnu-attribute -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixdfti_s.o -MT _fixdfti_s.o -MD -MP -MF _fixdfti_s.dep -DSHARED -mno-gnu-attribute -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixxfti_s.o -MT _fixxfti_s.o -MD -MP -MF _fixxfti_s.dep -DSHARED -mno-gnu-attribute -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _fixtfti_s.o -MT _fixtfti_s.o -MD -MP -MF _fixtfti_s.dep -DSHARED -mno-gnu-attribute -DL_fixtfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfti_s.o -MT _fixunssfti_s.o -MD -MP -MF _fixunssfti_s.dep -DSHARED -mno-gnu-attribute -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfti_s.o -MT _fixunsdfti_s.o -MD -MP -MF _fixunsdfti_s.dep -DSHARED -mno-gnu-attribute -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfti_s.o -MT _fixunsxfti_s.o -MD -MP -MF _fixunsxfti_s.dep -DSHARED -mno-gnu-attribute -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _fixunstfti_s.o -MT _fixunstfti_s.o -MD -MP -MF _fixunstfti_s.dep -DSHARED -mno-gnu-attribute -DL_fixunstfdi -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floattisf_s.o -MT _floattisf_s.o -MD -MP -MF _floattisf_s.dep -DSHARED -mno-gnu-attribute -DL_floatdisf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floattidf_s.o -MT _floattidf_s.o -MD -MP -MF _floattidf_s.dep -DSHARED -mno-gnu-attribute -DL_floatdidf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floattixf_s.o -MT _floattixf_s.o -MD -MP -MF _floattixf_s.dep -DSHARED -mno-gnu-attribute -DL_floatdixf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _floattitf_s.o -MT _floattitf_s.o -MD -MP -MF _floattitf_s.dep -DSHARED -mno-gnu-attribute -DL_floatditf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatuntisf_s.o -MT _floatuntisf_s.o -MD -MP -MF _floatuntisf_s.dep -DSHARED -mno-gnu-attribute -DL_floatundisf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatuntidf_s.o -MT _floatuntidf_s.o -MD -MP -MF _floatuntidf_s.dep -DSHARED -mno-gnu-attribute -DL_floatundidf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatuntixf_s.o -MT _floatuntixf_s.o -MD -MP -MF _floatuntixf_s.dep -DSHARED -mno-gnu-attribute -DL_floatundixf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _floatuntitf_s.o -MT _floatuntitf_s.o -MD -MP -MF _floatuntitf_s.dep -DSHARED -mno-gnu-attribute -DL_floatunditf -c ../../../src/libgcc/libgcc2.c \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdi3_s.o -MT _divdi3_s.o -MD -MP -MF _divdi3_s.dep -DSHARED -mno-gnu-attribute -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _moddi3_s.o -MT _moddi3_s.o -MD -MP -MF _moddi3_s.dep -DSHARED -mno-gnu-attribute -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divmoddi4_s.o -MT _divmoddi4_s.o -MD -MP -MF _divmoddi4_s.dep -DSHARED -mno-gnu-attribute -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivdi3_s.o -MT _udivdi3_s.o -MD -MP -MF _udivdi3_s.dep -DSHARED -mno-gnu-attribute -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _umoddi3_s.o -MT _umoddi3_s.o -MD -MP -MF _umoddi3_s.dep -DSHARED -mno-gnu-attribute -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivmoddi4_s.o -MT _udivmoddi4_s.o -MD -MP -MF _udivmoddi4_s.dep -DSHARED -mno-gnu-attribute -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udiv_w_sdiv_s.o -MT _udiv_w_sdiv_s.o -MD -MP -MF _udiv_w_sdiv_s.dep -DSHARED -mno-gnu-attribute -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divmodbitint4_s.o -MT _divmodbitint4_s.o -MD -MP -MF _divmodbitint4_s.dep -DSHARED -mno-gnu-attribute -DL_divmodbitint4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o ibm-ldouble_s.o -MT ibm-ldouble_s.o -MD -MP -MF ibm-ldouble_s.dep -DSHARED -mno-gnu-attribute -c ../../../src/libgcc/config/rs6000/ibm-ldouble.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o enable-execute-stack_s.o -MT enable-execute-stack_s.o -MD -MP -MF enable-execute-stack_s.dep -DSHARED -mno-gnu-attribute -c enable-execute-stack.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o hardcfr_s.o -MT hardcfr_s.o -MD -MP -MF hardcfr_s.dep -DSHARED -mno-gnu-attribute -c ../../../src/libgcc/hardcfr.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o strub_s.o -MT strub_s.o -MD -MP -MF strub_s.dep -DSHARED -mno-gnu-attribute -c ../../../src/libgcc/strub.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2_s.o -MT unwind-dw2_s.o -MD -MP -MF unwind-dw2_s.dep -DSHARED -mno-gnu-attribute -fexceptions -c ../../../src/libgcc/unwind-dw2.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-dw2-fde-dip_s.o -MT unwind-dw2-fde-dip_s.o -MD -MP -MF unwind-dw2-fde-dip_s.dep -DSHARED -mno-gnu-attribute -fexceptions -c ../../../src/libgcc/unwind-dw2-fde-dip.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-sjlj_s.o -MT unwind-sjlj_s.o -MD -MP -MF unwind-sjlj_s.dep -DSHARED -mno-gnu-attribute -fexceptions -c ../../../src/libgcc/unwind-sjlj.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o unwind-c_s.o -MT unwind-c_s.o -MD -MP -MF unwind-c_s.dep -DSHARED -mno-gnu-attribute -fexceptions -c ../../../src/libgcc/unwind-c.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o emutls_s.o -MT emutls_s.o -MD -MP -MF emutls_s.dep -DSHARED -mno-gnu-attribute -fexceptions -c ../../../src/libgcc/emutls.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtbegin.o -MT crtbegin.o -MD -MP -MF crtbegin.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtbeginS.o -MT crtbeginS.o -MD -MP -MF crtbeginS.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -fPIC -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFS_O In file included from ../../../src/libgcc/unwind-dw2.c:410: ./md-unwind-support.h: In function ‘ppc_backchain_fallback’: ./md-unwind-support.h:409:31: warning: comparison between pointer and integer 409 | if (arg == NULL || arg->cfa != current) | ^~ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtbeginT.o -MT crtbeginT.o -MD -MP -MF crtbeginT.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_BEGIN -DCRTSTUFFT_O /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtend.o -MT crtend.o -MD -MP -MF crtend.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -c ../../../src/libgcc/crtstuff.c -DCRT_END /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtendS.o -MT crtendS.o -MD -MP -MF crtendS.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -fPIC -c ../../../src/libgcc/crtstuff.c -DCRT_END -DCRTSTUFFS_O /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o ecrti.o -MT ecrti.o -MD -MP -MF ecrti.dep -c ../../../src/libgcc/config/rs6000/eabi-ci.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o ecrtn.o -MT ecrtn.o -MD -MP -MF ecrtn.dep -c ../../../src/libgcc/config/rs6000/eabi-cn.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o ncrti.o -MT ncrti.o -MD -MP -MF ncrti.dep -c ../../../src/libgcc/config/rs6000/sol-ci.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o ncrtn.o -MT ncrtn.o -MD -MP -MF ncrtn.dep -c ../../../src/libgcc/config/rs6000/sol-cn.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtoffloadbegin.o -MT crtoffloadbegin.o -MD -MP -MF crtoffloadbegin.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -c ../../../src/libgcc/offloadstuff.c -DCRT_BEGIN /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtoffloadend.o -MT crtoffloadend.o -MD -MP -MF crtoffloadend.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -c ../../../src/libgcc/offloadstuff.c -DCRT_END /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtoffloadtable.o -MT crtoffloadtable.o -MD -MP -MF crtoffloadtable.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -c ../../../src/libgcc/offloadstuff.c -DCRT_TABLE /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -g0 -fno-PIE -finhibit-size-directive -fno-inline -fno-exceptions -fno-zero-initialized-in-bss -fno-toplevel-reorder -fno-tree-vectorize -fbuilding-libgcc -fno-stack-protector -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -o crtoffloadtableS.o -MT crtoffloadtableS.o -MD -MP -MF crtoffloadtableS.dep -msdata=none -O2 -fno-asynchronous-unwind-tables -fPIC -c ../../../src/libgcc/offloadstuff.c -DCRT_TABLE { cat libgcc-std.ver ../../../src/libgcc/config/libgcc-glibc.ver ../../../src/libgcc/config/rs6000/libgcc-glibc.ver \ | sed -e '/^[ ]*#/d' \ -e 's/^%\(if\|else\|elif\|endif\|define\)/#\1/' \ | /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -E -xassembler-with-cpp -; \ } > tmp-libgcc.map.in mv tmp-libgcc.map.in libgcc.map.in /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldi3.o -MT _muldi3.o -MD -MP -MF _muldi3.dep -DL_muldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negdi2.o -MT _negdi2.o -MD -MP -MF _negdi2.dep -DL_negdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lshrdi3.o -MT _lshrdi3.o -MD -MP -MF _lshrdi3.dep -DL_lshrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashldi3.o -MT _ashldi3.o -MD -MP -MF _ashldi3.dep -DL_ashldi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ashrdi3.o -MT _ashrdi3.o -MD -MP -MF _ashrdi3.dep -DL_ashrdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _cmpdi2.o -MT _cmpdi2.o -MD -MP -MF _cmpdi2.dep -DL_cmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ucmpdi2.o -MT _ucmpdi2.o -MD -MP -MF _ucmpdi2.dep -DL_ucmpdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clear_cache.o -MT _clear_cache.o -MD -MP -MF _clear_cache.dep -DL_clear_cache -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _trampoline.o -MT _trampoline.o -MD -MP -MF _trampoline.dep -DL_trampoline -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o __main.o -MT __main.o -MD -MP -MF __main.dep -DL__main -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvsi2.o -MT _absvsi2.o -MD -MP -MF _absvsi2.dep -DL_absvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _absvdi2.o -MT _absvdi2.o -MD -MP -MF _absvdi2.dep -DL_absvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvsi3.o -MT _addvsi3.o -MD -MP -MF _addvsi3.dep -DL_addvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addvdi3.o -MT _addvdi3.o -MD -MP -MF _addvdi3.dep -DL_addvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvsi3.o -MT _subvsi3.o -MD -MP -MF _subvsi3.dep -DL_subvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _subvdi3.o -MT _subvdi3.o -MD -MP -MF _subvdi3.dep -DL_subvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvsi3.o -MT _mulvsi3.o -MD -MP -MF _mulvsi3.dep -DL_mulvsi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulvdi3.o -MT _mulvdi3.o -MD -MP -MF _mulvdi3.dep -DL_mulvdi3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvsi2.o -MT _negvsi2.o -MD -MP -MF _negvsi2.dep -DL_negvsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _negvdi2.o -MT _negvdi2.o -MD -MP -MF _negvdi2.dep -DL_negvdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctors.o -MT _ctors.o -MD -MP -MF _ctors.dep -DL_ctors -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffssi2.o -MT _ffssi2.o -MD -MP -MF _ffssi2.dep -DL_ffssi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ffsdi2.o -MT _ffsdi2.o -MD -MP -MF _ffsdi2.dep -DL_ffsdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clz.o -MT _clz.o -MD -MP -MF _clz.dep -DL_clz -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzsi2.o -MT _clzsi2.o -MD -MP -MF _clzsi2.dep -DL_clzsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clzdi2.o -MT _clzdi2.o -MD -MP -MF _clzdi2.dep -DL_clzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzsi2.o -MT _ctzsi2.o -MD -MP -MF _ctzsi2.dep -DL_ctzsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ctzdi2.o -MT _ctzdi2.o -MD -MP -MF _ctzdi2.dep -DL_ctzdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcount_tab.o -MT _popcount_tab.o -MD -MP -MF _popcount_tab.dep -DL_popcount_tab -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountsi2.o -MT _popcountsi2.o -MD -MP -MF _popcountsi2.dep -DL_popcountsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _popcountdi2.o -MT _popcountdi2.o -MD -MP -MF _popcountdi2.dep -DL_popcountdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritysi2.o -MT _paritysi2.o -MD -MP -MF _paritysi2.dep -DL_paritysi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _paritydi2.o -MT _paritydi2.o -MD -MP -MF _paritydi2.dep -DL_paritydi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powisf2.o -MT _powisf2.o -MD -MP -MF _powisf2.dep -DL_powisf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powidf2.o -MT _powidf2.o -MD -MP -MF _powidf2.dep -DL_powidf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _powixf2.o -MT _powixf2.o -MD -MP -MF _powixf2.dep -DL_powixf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _powitf2.o -MT _powitf2.o -MD -MP -MF _powitf2.dep -DL_powitf2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulhc3.o -MT _mulhc3.o -MD -MP -MF _mulhc3.dep -DL_mulhc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulsc3.o -MT _mulsc3.o -MD -MP -MF _mulsc3.dep -DL_mulsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _muldc3.o -MT _muldc3.o -MD -MP -MF _muldc3.dep -DL_muldc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulxc3.o -MT _mulxc3.o -MD -MP -MF _mulxc3.dep -DL_mulxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _multc3.o -MT _multc3.o -MD -MP -MF _multc3.dep -DL_multc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divhc3.o -MT _divhc3.o -MD -MP -MF _divhc3.dep -DL_divhc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divsc3.o -MT _divsc3.o -MD -MP -MF _divsc3.dep -DL_divsc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdc3.o -MT _divdc3.o -MD -MP -MF _divdc3.dep -DL_divdc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divxc3.o -MT _divxc3.o -MD -MP -MF _divxc3.dep -DL_divxc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _divtc3.o -MT _divtc3.o -MD -MP -MF _divtc3.dep -DL_divtc3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapsi2.o -MT _bswapsi2.o -MD -MP -MF _bswapsi2.dep -DL_bswapsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _bswapdi2.o -MT _bswapdi2.o -MD -MP -MF _bswapdi2.dep -DL_bswapdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbsi2.o -MT _clrsbsi2.o -MD -MP -MF _clrsbsi2.dep -DL_clrsbsi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _clrsbdi2.o -MT _clrsbdi2.o -MD -MP -MF _clrsbdi2.dep -DL_clrsbdi2 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mulbitint3.o -MT _mulbitint3.o -MD -MP -MF _mulbitint3.dep -DL_mulbitint3 -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eprintf.o -MT _eprintf.o -MD -MP -MF _eprintf.dep -DL_eprintf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o __gcc_bcmp.o -MT __gcc_bcmp.o -MD -MP -MF __gcc_bcmp.dep -DL__gcc_bcmp -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfsi.o -MT _fixunssfsi.o -MD -MP -MF _fixunssfsi.dep -DL_fixunssfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfsi.o -MT _fixunsdfsi.o -MD -MP -MF _fixunsdfsi.dep -DL_fixunsdfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfsi.o -MT _fixunsxfsi.o -MD -MP -MF _fixunsxfsi.dep -DL_fixunsxfsi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixsfdi.o -MT _fixsfdi.o -MD -MP -MF _fixsfdi.dep -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixdfdi.o -MT _fixdfdi.o -MD -MP -MF _fixdfdi.dep -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixxfdi.o -MT _fixxfdi.o -MD -MP -MF _fixxfdi.dep -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _fixtfdi.o -MT _fixtfdi.o -MD -MP -MF _fixtfdi.dep -DL_fixtfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfdi.o -MT _fixunssfdi.o -MD -MP -MF _fixunssfdi.dep -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfdi.o -MT _fixunsdfdi.o -MD -MP -MF _fixunsdfdi.dep -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfdi.o -MT _fixunsxfdi.o -MD -MP -MF _fixunsxfdi.dep -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _fixunstfdi.o -MT _fixunstfdi.o -MD -MP -MF _fixunstfdi.dep -DL_fixunstfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdisf.o -MT _floatdisf.o -MD -MP -MF _floatdisf.dep -DL_floatdisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdidf.o -MT _floatdidf.o -MD -MP -MF _floatdidf.dep -DL_floatdidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatdixf.o -MT _floatdixf.o -MD -MP -MF _floatdixf.dep -DL_floatdixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _floatditf.o -MT _floatditf.o -MD -MP -MF _floatditf.dep -DL_floatditf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundisf.o -MT _floatundisf.o -MD -MP -MF _floatundisf.dep -DL_floatundisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundidf.o -MT _floatundidf.o -MD -MP -MF _floatundidf.dep -DL_floatundidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatundixf.o -MT _floatundixf.o -MD -MP -MF _floatundixf.dep -DL_floatundixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _floatunditf.o -MT _floatunditf.o -MD -MP -MF _floatunditf.dep -DL_floatunditf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=4 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixsfti.o -MT _fixsfti.o -MD -MP -MF _fixsfti.dep -DL_fixsfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixdfti.o -MT _fixdfti.o -MD -MP -MF _fixdfti.dep -DL_fixdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixxfti.o -MT _fixxfti.o -MD -MP -MF _fixxfti.dep -DL_fixxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _fixtfti.o -MT _fixtfti.o -MD -MP -MF _fixtfti.dep -DL_fixtfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunssfti.o -MT _fixunssfti.o -MD -MP -MF _fixunssfti.dep -DL_fixunssfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsdfti.o -MT _fixunsdfti.o -MD -MP -MF _fixunsdfti.dep -DL_fixunsdfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _fixunsxfti.o -MT _fixunsxfti.o -MD -MP -MF _fixunsxfti.dep -DL_fixunsxfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _fixunstfti.o -MT _fixunstfti.o -MD -MP -MF _fixunstfti.dep -DL_fixunstfdi -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floattisf.o -MT _floattisf.o -MD -MP -MF _floattisf.dep -DL_floatdisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floattidf.o -MT _floattidf.o -MD -MP -MF _floattidf.dep -DL_floatdidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floattixf.o -MT _floattixf.o -MD -MP -MF _floattixf.dep -DL_floatdixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _floattitf.o -MT _floattitf.o -MD -MP -MF _floattitf.dep -DL_floatditf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatuntisf.o -MT _floatuntisf.o -MD -MP -MF _floatuntisf.dep -DL_floatundisf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatuntidf.o -MT _floatuntidf.o -MD -MP -MF _floatuntidf.dep -DL_floatundidf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _floatuntixf.o -MT _floatuntixf.o -MD -MP -MF _floatuntixf.dep -DL_floatundixf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o _floatuntitf.o -MT _floatuntitf.o -MD -MP -MF _floatuntitf.dep -DL_floatunditf -c ../../../src/libgcc/libgcc2.c -fvisibility=hidden -DHIDE_EXPORTS \ -DLIBGCC2_UNITS_PER_WORD=8 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divdi3.o -MT _divdi3.o -MD -MP -MF _divdi3.dep -DL_divdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _moddi3.o -MT _moddi3.o -MD -MP -MF _moddi3.dep -DL_moddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divmoddi4.o -MT _divmoddi4.o -MD -MP -MF _divmoddi4.dep -DL_divmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivdi3.o -MT _udivdi3.o -MD -MP -MF _udivdi3.dep -DL_udivdi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _umoddi3.o -MT _umoddi3.o -MD -MP -MF _umoddi3.dep -DL_umoddi3 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udivmoddi4.o -MT _udivmoddi4.o -MD -MP -MF _udivmoddi4.dep -DL_udivmoddi4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udiv_w_sdiv.o -MT _udiv_w_sdiv.o -MD -MP -MF _udiv_w_sdiv.dep -DL_udiv_w_sdiv -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _divmodbitint4.o -MT _divmodbitint4.o -MD -MP -MF _divmodbitint4.dep -DL_divmodbitint4 -c ../../../src/libgcc/libgcc2.c \ -fexceptions -fnon-call-exceptions -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decContext.o -MT decContext.o -MD -MP -MF decContext.dep -c ../../../src/libgcc/../libdecnumber/decContext.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decNumber.o -MT decNumber.o -MD -MP -MF decNumber.dep -c ../../../src/libgcc/../libdecnumber/decNumber.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decExcept.o -MT decExcept.o -MD -MP -MF decExcept.dep -c ../../../src/libgcc/../libdecnumber/decExcept.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decRound.o -MT decRound.o -MD -MP -MF decRound.dep -c ../../../src/libgcc/../libdecnumber/decRound.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decLibrary.o -MT decLibrary.o -MD -MP -MF decLibrary.dep -c ../../../src/libgcc/../libdecnumber/decLibrary.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decDouble.o -MT decDouble.o -MD -MP -MF decDouble.dep -c ../../../src/libgcc/../libdecnumber/decDouble.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decPacked.o -MT decPacked.o -MD -MP -MF decPacked.dep -c ../../../src/libgcc/../libdecnumber/decPacked.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decQuad.o -MT decQuad.o -MD -MP -MF decQuad.dep -c ../../../src/libgcc/../libdecnumber/decQuad.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decSingle.o -MT decSingle.o -MD -MP -MF decSingle.dep -c ../../../src/libgcc/../libdecnumber/decSingle.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decimal32.o -MT decimal32.o -MD -MP -MF decimal32.dep -c ../../../src/libgcc/../libdecnumber/dpd/decimal32.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decimal64.o -MT decimal64.o -MD -MP -MF decimal64.dep -c ../../../src/libgcc/../libdecnumber/dpd/decimal64.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o decimal128.o -MT decimal128.o -MD -MP -MF decimal128.dep -c ../../../src/libgcc/../libdecnumber/dpd/decimal128.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addsub_sd.o -MT _addsub_sd.o -MD -MP -MF _addsub_sd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _div_sd.o -MT _div_sd.o -MD -MP -MF _div_sd.dep -DFINE_GRAINED_LIBRARIES -DL_div_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mul_sd.o -MT _mul_sd.o -MD -MP -MF _mul_sd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _plus_sd.o -MT _plus_sd.o -MD -MP -MF _plus_sd.dep -DFINE_GRAINED_LIBRARIES -DL_plus_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _minus_sd.o -MT _minus_sd.o -MD -MP -MF _minus_sd.dep -DFINE_GRAINED_LIBRARIES -DL_minus_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eq_sd.o -MT _eq_sd.o -MD -MP -MF _eq_sd.dep -DFINE_GRAINED_LIBRARIES -DL_eq_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ne_sd.o -MT _ne_sd.o -MD -MP -MF _ne_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lt_sd.o -MT _lt_sd.o -MD -MP -MF _lt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gt_sd.o -MT _gt_sd.o -MD -MP -MF _gt_sd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _le_sd.o -MT _le_sd.o -MD -MP -MF _le_sd.dep -DFINE_GRAINED_LIBRARIES -DL_le_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ge_sd.o -MT _ge_sd.o -MD -MP -MF _ge_sd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_si.o -MT _sd_to_si.o -MD -MP -MF _sd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_si -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_di.o -MT _sd_to_di.o -MD -MP -MF _sd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_di -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_usi.o -MT _sd_to_usi.o -MD -MP -MF _sd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_usi -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_udi.o -MT _sd_to_udi.o -MD -MP -MF _sd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_udi -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _si_to_sd.o -MT _si_to_sd.o -MD -MP -MF _si_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _di_to_sd.o -MT _di_to_sd.o -MD -MP -MF _di_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _usi_to_sd.o -MT _usi_to_sd.o -MD -MP -MF _usi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udi_to_sd.o -MT _udi_to_sd.o -MD -MP -MF _udi_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_sf.o -MT _sd_to_sf.o -MD -MP -MF _sd_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_sf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_df.o -MT _sd_to_df.o -MD -MP -MF _sd_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_df -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_xf.o -MT _sd_to_xf.o -MD -MP -MF _sd_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_xf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -mno-gnu-attribute -Wno-psabi -mabi=ibmlongdouble -o _sd_to_tf.o -MT _sd_to_tf.o -MD -MP -MF _sd_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_tf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sf_to_sd.o -MT _sf_to_sd.o -MD -MP -MF _sf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _df_to_sd.o -MT _df_to_sd.o -MD -MP -MF _df_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _xf_to_sd.o -MT _xf_to_sd.o -MD -MP -MF _xf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -mno-gnu-attribute -Wno-psabi -mabi=ibmlongdouble -o _tf_to_sd.o -MT _tf_to_sd.o -MD -MP -MF _tf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_dd.o -MT _sd_to_dd.o -MD -MP -MF _sd_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_dd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_td.o -MT _sd_to_td.o -MD -MP -MF _sd_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_td -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _unord_sd.o -MT _unord_sd.o -MD -MP -MF _unord_sd.dep -DFINE_GRAINED_LIBRARIES -DL_unord_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _conv_sd.o -MT _conv_sd.o -MD -MP -MF _conv_sd.dep -DFINE_GRAINED_LIBRARIES -DL_conv_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _hf_to_sd.o -MT _hf_to_sd.o -MD -MP -MF _hf_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_hf_to_sd -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sd_to_hf.o -MT _sd_to_hf.o -MD -MP -MF _sd_to_hf.dep -DFINE_GRAINED_LIBRARIES -DL_sd_to_hf -DWIDTH=32 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addsub_dd.o -MT _addsub_dd.o -MD -MP -MF _addsub_dd.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _div_dd.o -MT _div_dd.o -MD -MP -MF _div_dd.dep -DFINE_GRAINED_LIBRARIES -DL_div_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mul_dd.o -MT _mul_dd.o -MD -MP -MF _mul_dd.dep -DFINE_GRAINED_LIBRARIES -DL_mul_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _plus_dd.o -MT _plus_dd.o -MD -MP -MF _plus_dd.dep -DFINE_GRAINED_LIBRARIES -DL_plus_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _minus_dd.o -MT _minus_dd.o -MD -MP -MF _minus_dd.dep -DFINE_GRAINED_LIBRARIES -DL_minus_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eq_dd.o -MT _eq_dd.o -MD -MP -MF _eq_dd.dep -DFINE_GRAINED_LIBRARIES -DL_eq_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ne_dd.o -MT _ne_dd.o -MD -MP -MF _ne_dd.dep -DFINE_GRAINED_LIBRARIES -DL_ne_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lt_dd.o -MT _lt_dd.o -MD -MP -MF _lt_dd.dep -DFINE_GRAINED_LIBRARIES -DL_lt_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gt_dd.o -MT _gt_dd.o -MD -MP -MF _gt_dd.dep -DFINE_GRAINED_LIBRARIES -DL_gt_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _le_dd.o -MT _le_dd.o -MD -MP -MF _le_dd.dep -DFINE_GRAINED_LIBRARIES -DL_le_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ge_dd.o -MT _ge_dd.o -MD -MP -MF _ge_dd.dep -DFINE_GRAINED_LIBRARIES -DL_ge_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_si.o -MT _dd_to_si.o -MD -MP -MF _dd_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_si -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_di.o -MT _dd_to_di.o -MD -MP -MF _dd_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_di -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_usi.o -MT _dd_to_usi.o -MD -MP -MF _dd_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_usi -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_udi.o -MT _dd_to_udi.o -MD -MP -MF _dd_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_udi -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _si_to_dd.o -MT _si_to_dd.o -MD -MP -MF _si_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _di_to_dd.o -MT _di_to_dd.o -MD -MP -MF _di_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _usi_to_dd.o -MT _usi_to_dd.o -MD -MP -MF _usi_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udi_to_dd.o -MT _udi_to_dd.o -MD -MP -MF _udi_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_sf.o -MT _dd_to_sf.o -MD -MP -MF _dd_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_sf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_df.o -MT _dd_to_df.o -MD -MP -MF _dd_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_df -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_xf.o -MT _dd_to_xf.o -MD -MP -MF _dd_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_xf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -mno-gnu-attribute -Wno-psabi -mabi=ibmlongdouble -o _dd_to_tf.o -MT _dd_to_tf.o -MD -MP -MF _dd_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_tf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sf_to_dd.o -MT _sf_to_dd.o -MD -MP -MF _sf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _df_to_dd.o -MT _df_to_dd.o -MD -MP -MF _df_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _xf_to_dd.o -MT _xf_to_dd.o -MD -MP -MF _xf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -mno-gnu-attribute -Wno-psabi -mabi=ibmlongdouble -o _tf_to_dd.o -MT _tf_to_dd.o -MD -MP -MF _tf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_sd.o -MT _dd_to_sd.o -MD -MP -MF _dd_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_sd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_td.o -MT _dd_to_td.o -MD -MP -MF _dd_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_td -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _unord_dd.o -MT _unord_dd.o -MD -MP -MF _unord_dd.dep -DFINE_GRAINED_LIBRARIES -DL_unord_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _conv_dd.o -MT _conv_dd.o -MD -MP -MF _conv_dd.dep -DFINE_GRAINED_LIBRARIES -DL_conv_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _hf_to_dd.o -MT _hf_to_dd.o -MD -MP -MF _hf_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_hf_to_dd -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _dd_to_hf.o -MT _dd_to_hf.o -MD -MP -MF _dd_to_hf.dep -DFINE_GRAINED_LIBRARIES -DL_dd_to_hf -DWIDTH=64 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _addsub_td.o -MT _addsub_td.o -MD -MP -MF _addsub_td.dep -DFINE_GRAINED_LIBRARIES -DL_addsub_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _div_td.o -MT _div_td.o -MD -MP -MF _div_td.dep -DFINE_GRAINED_LIBRARIES -DL_div_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _mul_td.o -MT _mul_td.o -MD -MP -MF _mul_td.dep -DFINE_GRAINED_LIBRARIES -DL_mul_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _plus_td.o -MT _plus_td.o -MD -MP -MF _plus_td.dep -DFINE_GRAINED_LIBRARIES -DL_plus_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _minus_td.o -MT _minus_td.o -MD -MP -MF _minus_td.dep -DFINE_GRAINED_LIBRARIES -DL_minus_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _eq_td.o -MT _eq_td.o -MD -MP -MF _eq_td.dep -DFINE_GRAINED_LIBRARIES -DL_eq_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ne_td.o -MT _ne_td.o -MD -MP -MF _ne_td.dep -DFINE_GRAINED_LIBRARIES -DL_ne_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _lt_td.o -MT _lt_td.o -MD -MP -MF _lt_td.dep -DFINE_GRAINED_LIBRARIES -DL_lt_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _gt_td.o -MT _gt_td.o -MD -MP -MF _gt_td.dep -DFINE_GRAINED_LIBRARIES -DL_gt_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _le_td.o -MT _le_td.o -MD -MP -MF _le_td.dep -DFINE_GRAINED_LIBRARIES -DL_le_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _ge_td.o -MT _ge_td.o -MD -MP -MF _ge_td.dep -DFINE_GRAINED_LIBRARIES -DL_ge_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_si.o -MT _td_to_si.o -MD -MP -MF _td_to_si.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_si -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_di.o -MT _td_to_di.o -MD -MP -MF _td_to_di.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_di -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_usi.o -MT _td_to_usi.o -MD -MP -MF _td_to_usi.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_usi -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_udi.o -MT _td_to_udi.o -MD -MP -MF _td_to_udi.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_udi -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _si_to_td.o -MT _si_to_td.o -MD -MP -MF _si_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_si_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _di_to_td.o -MT _di_to_td.o -MD -MP -MF _di_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_di_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _usi_to_td.o -MT _usi_to_td.o -MD -MP -MF _usi_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_usi_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _udi_to_td.o -MT _udi_to_td.o -MD -MP -MF _udi_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_udi_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_sf.o -MT _td_to_sf.o -MD -MP -MF _td_to_sf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_sf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_df.o -MT _td_to_df.o -MD -MP -MF _td_to_df.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_df -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_xf.o -MT _td_to_xf.o -MD -MP -MF _td_to_xf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_xf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -mno-gnu-attribute -Wno-psabi -mabi=ibmlongdouble -o _td_to_tf.o -MT _td_to_tf.o -MD -MP -MF _td_to_tf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_tf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _sf_to_td.o -MT _sf_to_td.o -MD -MP -MF _sf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_sf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _df_to_td.o -MT _df_to_td.o -MD -MP -MF _df_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_df_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _xf_to_td.o -MT _xf_to_td.o -MD -MP -MF _xf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_xf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -mno-gnu-attribute -Wno-psabi -mabi=ibmlongdouble -o _tf_to_td.o -MT _tf_to_td.o -MD -MP -MF _tf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_tf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_sd.o -MT _td_to_sd.o -MD -MP -MF _td_to_sd.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_sd -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_dd.o -MT _td_to_dd.o -MD -MP -MF _td_to_dd.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_dd -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _unord_td.o -MT _unord_td.o -MD -MP -MF _unord_td.dep -DFINE_GRAINED_LIBRARIES -DL_unord_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _conv_td.o -MT _conv_td.o -MD -MP -MF _conv_td.dep -DFINE_GRAINED_LIBRARIES -DL_conv_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _hf_to_td.o -MT _hf_to_td.o -MD -MP -MF _hf_to_td.dep -DFINE_GRAINED_LIBRARIES -DL_hf_to_td -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o _td_to_hf.o -MT _td_to_hf.o -MD -MP -MF _td_to_hf.dep -DFINE_GRAINED_LIBRARIES -DL_td_to_hf -DWIDTH=128 -c ../../../src/libgcc/dfp-bit.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -Wno-psabi -mabi=ibmlongdouble -mno-gnu-attribute -o ibm-ldouble.o -MT ibm-ldouble.o -MD -MP -MF ibm-ldouble.dep -c ../../../src/libgcc/config/rs6000/ibm-ldouble.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o tramp_s.o -MT tramp_s.o -MD -MP -MF tramp_s.dep -DSHARED -mno-gnu-attribute -c -xassembler-with-cpp ../../../src/libgcc/config/rs6000/tramp.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o enable-execute-stack.o -MT enable-execute-stack.o -MD -MP -MF enable-execute-stack.dep -c enable-execute-stack.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o hardcfr.o -MT hardcfr.o -MD -MP -MF hardcfr.dep -c ../../../src/libgcc/hardcfr.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o strub.o -MT strub.o -MD -MP -MF strub.dep -c ../../../src/libgcc/strub.c -fvisibility=hidden -DHIDE_EXPORTS /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o eabi.o -MT eabi.o -MD -MP -MF eabi.dep -c -xassembler-with-cpp -include eabi.vis ../../../src/libgcc/config/rs6000/eabi.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavfpr.o -MT crtsavfpr.o -MD -MP -MF crtsavfpr.dep -c -xassembler-with-cpp -include crtsavfpr.vis ../../../src/libgcc/config/rs6000/crtsavfpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresfpr.o -MT crtresfpr.o -MD -MP -MF crtresfpr.dep -c -xassembler-with-cpp -include crtresfpr.vis ../../../src/libgcc/config/rs6000/crtresfpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavgpr.o -MT crtsavgpr.o -MD -MP -MF crtsavgpr.dep -c -xassembler-with-cpp -include crtsavgpr.vis ../../../src/libgcc/config/rs6000/crtsavgpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresgpr.o -MT crtresgpr.o -MD -MP -MF crtresgpr.dep -c -xassembler-with-cpp -include crtresgpr.vis ../../../src/libgcc/config/rs6000/crtresgpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresxfpr.o -MT crtresxfpr.o -MD -MP -MF crtresxfpr.dep -c -xassembler-with-cpp -include crtresxfpr.vis ../../../src/libgcc/config/rs6000/crtresxfpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtresxgpr.o -MT crtresxgpr.o -MD -MP -MF crtresxgpr.dep -c -xassembler-with-cpp -include crtresxgpr.vis ../../../src/libgcc/config/rs6000/crtresxgpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtsavevr.o -MT crtsavevr.o -MD -MP -MF crtsavevr.dep -c -xassembler-with-cpp -include crtsavevr.vis ../../../src/libgcc/config/rs6000/crtsavevr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o crtrestvr.o -MT crtrestvr.o -MD -MP -MF crtrestvr.dep -c -xassembler-with-cpp -include crtrestvr.vis ../../../src/libgcc/config/rs6000/crtrestvr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres32gpr.o -MT e500crtres32gpr.o -MD -MP -MF e500crtres32gpr.dep -c -xassembler-with-cpp -include e500crtres32gpr.vis ../../../src/libgcc/config/rs6000/e500crtres32gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres64gpr.o -MT e500crtres64gpr.o -MD -MP -MF e500crtres64gpr.dep -c -xassembler-with-cpp -include e500crtres64gpr.vis ../../../src/libgcc/config/rs6000/e500crtres64gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtres64gprctr.o -MT e500crtres64gprctr.o -MD -MP -MF e500crtres64gprctr.dep -c -xassembler-with-cpp -include e500crtres64gprctr.vis ../../../src/libgcc/config/rs6000/e500crtres64gprctr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtrest32gpr.o -MT e500crtrest32gpr.o -MD -MP -MF e500crtrest32gpr.dep -c -xassembler-with-cpp -include e500crtrest32gpr.vis ../../../src/libgcc/config/rs6000/e500crtrest32gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtrest64gpr.o -MT e500crtrest64gpr.o -MD -MP -MF e500crtrest64gpr.dep -c -xassembler-with-cpp -include e500crtrest64gpr.vis ../../../src/libgcc/config/rs6000/e500crtrest64gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtresx32gpr.o -MT e500crtresx32gpr.o -MD -MP -MF e500crtresx32gpr.dep -c -xassembler-with-cpp -include e500crtresx32gpr.vis ../../../src/libgcc/config/rs6000/e500crtresx32gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtresx64gpr.o -MT e500crtresx64gpr.o -MD -MP -MF e500crtresx64gpr.dep -c -xassembler-with-cpp -include e500crtresx64gpr.vis ../../../src/libgcc/config/rs6000/e500crtresx64gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav32gpr.o -MT e500crtsav32gpr.o -MD -MP -MF e500crtsav32gpr.dep -c -xassembler-with-cpp -include e500crtsav32gpr.vis ../../../src/libgcc/config/rs6000/e500crtsav32gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav64gpr.o -MT e500crtsav64gpr.o -MD -MP -MF e500crtsav64gpr.dep -c -xassembler-with-cpp -include e500crtsav64gpr.vis ../../../src/libgcc/config/rs6000/e500crtsav64gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsav64gprctr.o -MT e500crtsav64gprctr.o -MD -MP -MF e500crtsav64gprctr.dep -c -xassembler-with-cpp -include e500crtsav64gprctr.vis ../../../src/libgcc/config/rs6000/e500crtsav64gprctr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg32gpr.o -MT e500crtsavg32gpr.o -MD -MP -MF e500crtsavg32gpr.dep -c -xassembler-with-cpp -include e500crtsavg32gpr.vis ../../../src/libgcc/config/rs6000/e500crtsavg32gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg64gpr.o -MT e500crtsavg64gpr.o -MD -MP -MF e500crtsavg64gpr.dep -c -xassembler-with-cpp -include e500crtsavg64gpr.vis ../../../src/libgcc/config/rs6000/e500crtsavg64gpr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o e500crtsavg64gprctr.o -MT e500crtsavg64gprctr.o -MD -MP -MF e500crtsavg64gprctr.dep -c -xassembler-with-cpp -include e500crtsavg64gprctr.vis ../../../src/libgcc/config/rs6000/e500crtsavg64gprctr.S /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o morestack.o -MT morestack.o -MD -MP -MF morestack.dep -c -xassembler-with-cpp -include morestack.vis ../../../src/libgcc/config/rs6000/morestack.S rm -f libgcov.a rm -f libgcc_eh.a # Early copyback; see "all" above for the rationale. The objects="_gcov_merge_add.o _gcov_merge_topn.o _gcov_merge_ior.o _gcov_merge_time_profile.o _gcov_interval_profiler.o _gcov_interval_profiler_atomic.o _gcov_pow2_profiler.o _gcov_pow2_profiler_atomic.o _gcov_topn_values_profiler.o _gcov_topn_values_profiler_atomic.o _gcov_average_profiler.o _gcov_average_profiler_atomic.o _gcov_ior_profiler.o _gcov_ior_profiler_atomic.o _gcov_indirect_call_profiler_v4.o _gcov_time_profiler.o _gcov_dump.o _gcov_fork.o _gcov_execl.o _gcov_execlp.o _gcov_execle.o _gcov_execv.o _gcov_execvp.o _gcov_execve.o _gcov_reset.o _gcov_lock_unlock.o _gcov.o _gcov_info_to_gcda.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc libgcov.a $objects objects="unwind-dw2.o unwind-dw2-fde-dip.o unwind-sjlj.o unwind-c.o emutls.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc libgcc_eh.a $objects # early copy is necessary so that the gcc -B options find # the right startup files when linking shared libgcc. /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o ecrti.o ecrtn.o ncrti.o ncrtn.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o crtoffloadtableS.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/$file ;; \ esac; \ done powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libgcov.a { /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _mulbitint3_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _fixsfti_s.o _fixdfti_s.o _fixxfti_s.o _fixtfti_s.o _fixunssfti_s.o _fixunsdfti_s.o _fixunsxfti_s.o _fixunstfti_s.o _floattisf_s.o _floattidf_s.o _floattixf_s.o _floattitf_s.o _floatuntisf_s.o _floatuntidf_s.o _floatuntixf_s.o _floatuntitf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o _divmodbitint4_s.o ibm-ldouble_s.o tramp_s.o enable-execute-stack_s.o hardcfr_s.o strub_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o emutls_s.o; echo %%; \ cat libgcc.map.in; \ } | gawk -f ../../../src/libgcc/mkmap-symver.awk > tmp-libgcc.map /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/nm -pg tramp_s.o | gawk 'NF == 3 && $2 !~ /^[UN]$/ && $3 !~ /.*_compat/ && $3 !~ /.*@.*/ { print "\t.hidden", $3 }' > tramp.visT powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libgcc_eh.a mv -f tramp.visT tramp.vis /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -o tramp.o -MT tramp.o -MD -MP -MF tramp.dep -c -xassembler-with-cpp -include tramp.vis ../../../src/libgcc/config/rs6000/tramp.S mv tmp-libgcc.map libgcc.map rm -f libgcc.a objects="_muldi3.o _negdi2.o _lshrdi3.o _ashldi3.o _ashrdi3.o _cmpdi2.o _ucmpdi2.o _clear_cache.o _trampoline.o __main.o _absvsi2.o _absvdi2.o _addvsi3.o _addvdi3.o _subvsi3.o _subvdi3.o _mulvsi3.o _mulvdi3.o _negvsi2.o _negvdi2.o _ctors.o _ffssi2.o _ffsdi2.o _clz.o _clzsi2.o _clzdi2.o _ctzsi2.o _ctzdi2.o _popcount_tab.o _popcountsi2.o _popcountdi2.o _paritysi2.o _paritydi2.o _powisf2.o _powidf2.o _powixf2.o _powitf2.o _mulhc3.o _mulsc3.o _muldc3.o _mulxc3.o _multc3.o _divhc3.o _divsc3.o _divdc3.o _divxc3.o _divtc3.o _bswapsi2.o _bswapdi2.o _clrsbsi2.o _clrsbdi2.o _mulbitint3.o _eprintf.o __gcc_bcmp.o _fixunssfsi.o _fixunsdfsi.o _fixunsxfsi.o _fixsfdi.o _fixdfdi.o _fixxfdi.o _fixtfdi.o _fixunssfdi.o _fixunsdfdi.o _fixunsxfdi.o _fixunstfdi.o _floatdisf.o _floatdidf.o _floatdixf.o _floatditf.o _floatundisf.o _floatundidf.o _floatundixf.o _floatunditf.o _fixsfti.o _fixdfti.o _fixxfti.o _fixtfti.o _fixunssfti.o _fixunsdfti.o _fixunsxfti.o _fixunstfti.o _floattisf.o _floattidf.o _floattixf.o _floattitf.o _floatuntisf.o _floatuntidf.o _floatuntixf.o _floatuntitf.o _divdi3.o _moddi3.o _divmoddi4.o _udivdi3.o _umoddi3.o _udivmoddi4.o _udiv_w_sdiv.o _divmodbitint4.o decContext.o decNumber.o decExcept.o decRound.o decLibrary.o decDouble.o decPacked.o decQuad.o decSingle.o decimal32.o decimal64.o decimal128.o _addsub_sd.o _div_sd.o _mul_sd.o _plus_sd.o _minus_sd.o _eq_sd.o _ne_sd.o _lt_sd.o _gt_sd.o _le_sd.o _ge_sd.o _sd_to_si.o _sd_to_di.o _sd_to_usi.o _sd_to_udi.o _si_to_sd.o _di_to_sd.o _usi_to_sd.o _udi_to_sd.o _sd_to_sf.o _sd_to_df.o _sd_to_xf.o _sd_to_tf.o _sf_to_sd.o _df_to_sd.o _xf_to_sd.o _tf_to_sd.o _sd_to_dd.o _sd_to_td.o _unord_sd.o _conv_sd.o _hf_to_sd.o _sd_to_hf.o _addsub_dd.o _div_dd.o _mul_dd.o _plus_dd.o _minus_dd.o _eq_dd.o _ne_dd.o _lt_dd.o _gt_dd.o _le_dd.o _ge_dd.o _dd_to_si.o _dd_to_di.o _dd_to_usi.o _dd_to_udi.o _si_to_dd.o _di_to_dd.o _usi_to_dd.o _udi_to_dd.o _dd_to_sf.o _dd_to_df.o _dd_to_xf.o _dd_to_tf.o _sf_to_dd.o _df_to_dd.o _xf_to_dd.o _tf_to_dd.o _dd_to_sd.o _dd_to_td.o _unord_dd.o _conv_dd.o _hf_to_dd.o _dd_to_hf.o _addsub_td.o _div_td.o _mul_td.o _plus_td.o _minus_td.o _eq_td.o _ne_td.o _lt_td.o _gt_td.o _le_td.o _ge_td.o _td_to_si.o _td_to_di.o _td_to_usi.o _td_to_udi.o _si_to_td.o _di_to_td.o _usi_to_td.o _udi_to_td.o _td_to_sf.o _td_to_df.o _td_to_xf.o _td_to_tf.o _sf_to_td.o _df_to_td.o _xf_to_td.o _tf_to_td.o _td_to_sd.o _td_to_dd.o _unord_td.o _conv_td.o _hf_to_td.o _td_to_hf.o ibm-ldouble.o tramp.o enable-execute-stack.o hardcfr.o strub.o eabi.o crtsavfpr.o crtresfpr.o crtsavgpr.o crtresgpr.o crtresxfpr.o crtresxgpr.o crtsavevr.o crtrestvr.o e500crtres32gpr.o e500crtres64gpr.o e500crtres64gprctr.o e500crtrest32gpr.o e500crtrest64gpr.o e500crtresx32gpr.o e500crtresx64gpr.o e500crtsav32gpr.o e500crtsav64gpr.o e500crtsav64gprctr.o e500crtsavg32gpr.o e500crtsavg64gpr.o e500crtsavg64gprctr.o generic-morestack.o generic-morestack-thread.o morestack.o addkf3-sw.o subkf3-sw.o mulkf3-sw.o divkf3-sw.o negkf2-sw.o unordkf2-sw.o eqkf2-sw.o gekf2-sw.o lekf2-sw.o extendsfkf2-sw.o extenddfkf2-sw.o trunckfsf2-sw.o trunckfdf2-sw.o fixkfsi-sw.o fixkfdi-sw.o fixunskfsi-sw.o fixunskfdi-sw.o floatsikf-sw.o floatdikf-sw.o floatunsikf-sw.o floatundikf-sw.o floattikf-sw.o floatuntikf-sw.o fixkfti-sw.o fixunskfti-sw.o extendkftf2-sw.o trunctfkf2-sw.o sfp-exceptions.o _mulkc3.o _divkc3.o _powikf2.o _kf_to_sd.o _kf_to_dd.o _kf_to_td.o _sd_to_kf.o _dd_to_kf.o _td_to_kf.o float128-hw.o _mulkc3-hw.o _divkc3-hw.o _powikf2-hw.o float128-ifunc.o float128-p10.o"; \ if test -z "$objects"; then \ echo 'int __libgcc_eh_dummy;' > eh_dummy.c; \ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -g -O2 -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -fPIC -mlong-double-128 -mno-minimal-toc -I. -I. -I../.././gcc -I../../../src/libgcc -I../../../src/libgcc/. -I../../../src/libgcc/../gcc -I../../../src/libgcc/../include -I../../../src/libgcc/../libdecnumber/dpd -I../../../src/libgcc/../libdecnumber -DHAVE_CC_TLS -fvisibility=hidden -DHIDE_EXPORTS -c eh_dummy.c \ -o eh_dummy.o; \ objects=eh_dummy.o; \ fi; \ powerpc64le-linux-gnu-ar --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so rc libgcc.a $objects powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libgcc.a # @multilib_flags@ is still needed because this may use # /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include and -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. /bin/bash ../../../src/libgcc/../mkinstalldirs . /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _mulbitint3_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _fixsfti_s.o _fixdfti_s.o _fixxfti_s.o _fixtfti_s.o _fixunssfti_s.o _fixunsdfti_s.o _fixunsxfti_s.o _fixunstfti_s.o _floattisf_s.o _floattidf_s.o _floattixf_s.o _floattitf_s.o _floatuntisf_s.o _floatuntidf_s.o _floatuntixf_s.o _floatuntitf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o _divmodbitint4_s.o ibm-ldouble_s.o tramp_s.o enable-execute-stack_s.o hardcfr_s.o strub_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo " Use the shared library, but some functions are only in"; echo " the static library. */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so # Now that we have built all the objects, we need to copy # them back to the GCC directory. Too many things (other # in-tree libraries, and DejaGNU) know about the layout # of the build tree, for now. /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/libgcc.a /usr/bin/install -c -m 644 libgcov.a ../.././gcc/ chmod 644 ../.././gcc/libgcov.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o ecrti.o ecrtn.o ncrti.o ncrtn.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o crtoffloadtableS.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/$file ;; \ esac; \ done make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' make[3]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' # For some reason, on the i386 architecture only, it decides to delete # one important build result. Just rerun make as a workaround. /usr/bin/make -j8 -C /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libiberty' /usr/bin/make all-am make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcody' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcody' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' true DO=all multi-do # /usr/bin/make make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libdecnumber' make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libiberty/testsuite' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/fixincludes' /usr/bin/make all-am make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcpp' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/build-powerpc64le-linux-gnu/libcpp' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc' gnatmake -v -j8 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j8 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl1" final executable "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j8 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie -static-libstdc++ -static-libgcc \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc' Checking multilib configuration for libgcc... make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/c++tools' make[4]: Nothing to be done for 'all'. make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/c++tools' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' /usr/bin/make all-am make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' # If this is the top-level multilib, build all the other # multilibs. # Early copyback; see "all" above for the rationale. The # early copy is necessary so that the gcc -B options find dest=../.././gcc/include/tmp$$-unwind.h; \ cp unwind.h $dest; \ chmod a+r $dest; \ sh ../../../src/libgcc/../move-if-change $dest ../.././gcc/include/unwind.h # the right startup files when linking shared libgcc. /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o ecrti.o ecrtn.o ncrti.o ncrtn.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o crtoffloadtableS.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/$file ;; \ esac; \ done # @multilib_flags@ is still needed because this may use # /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include and -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector directly. # @multilib_dir@ is not really necessary, but sometimes it has # more uses than just a directory name. /bin/bash ../../../src/libgcc/../mkinstalldirs . /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/xgcc -B/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/./gcc/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/bin/ -B/usr/lib/ghdl/gcc/powerpc64le-linux-gnu/lib/ -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/include -isystem /usr/lib/ghdl/gcc/powerpc64le-linux-gnu/sys-include -isystem /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/sys-include -O2 -g -O2 -DIN_GCC -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wstrict-prototypes -Wmissing-prototypes -Wold-style-definition -isystem ./include -fPIC -mlong-double-128 -mno-minimal-toc -g -DIN_LIBGCC2 -fbuilding-libgcc -fno-stack-protector -shared -nodefaultlibs -Wl,--soname=libgcc_s.so.1 -Wl,--version-script=libgcc.map -Wl,-z,relro -o ./libgcc_s.so.1.tmp -g -O2 -B./ _muldi3_s.o _negdi2_s.o _lshrdi3_s.o _ashldi3_s.o _ashrdi3_s.o _cmpdi2_s.o _ucmpdi2_s.o _clear_cache_s.o _trampoline_s.o __main_s.o _absvsi2_s.o _absvdi2_s.o _addvsi3_s.o _addvdi3_s.o _subvsi3_s.o _subvdi3_s.o _mulvsi3_s.o _mulvdi3_s.o _negvsi2_s.o _negvdi2_s.o _ctors_s.o _ffssi2_s.o _ffsdi2_s.o _clz_s.o _clzsi2_s.o _clzdi2_s.o _ctzsi2_s.o _ctzdi2_s.o _popcount_tab_s.o _popcountsi2_s.o _popcountdi2_s.o _paritysi2_s.o _paritydi2_s.o _powisf2_s.o _powidf2_s.o _powixf2_s.o _powitf2_s.o _mulhc3_s.o _mulsc3_s.o _muldc3_s.o _mulxc3_s.o _multc3_s.o _divhc3_s.o _divsc3_s.o _divdc3_s.o _divxc3_s.o _divtc3_s.o _bswapsi2_s.o _bswapdi2_s.o _clrsbsi2_s.o _clrsbdi2_s.o _mulbitint3_s.o _fixunssfsi_s.o _fixunsdfsi_s.o _fixunsxfsi_s.o _fixsfdi_s.o _fixdfdi_s.o _fixxfdi_s.o _fixtfdi_s.o _fixunssfdi_s.o _fixunsdfdi_s.o _fixunsxfdi_s.o _fixunstfdi_s.o _floatdisf_s.o _floatdidf_s.o _floatdixf_s.o _floatditf_s.o _floatundisf_s.o _floatundidf_s.o _floatundixf_s.o _floatunditf_s.o _fixsfti_s.o _fixdfti_s.o _fixxfti_s.o _fixtfti_s.o _fixunssfti_s.o _fixunsdfti_s.o _fixunsxfti_s.o _fixunstfti_s.o _floattisf_s.o _floattidf_s.o _floattixf_s.o _floattitf_s.o _floatuntisf_s.o _floatuntidf_s.o _floatuntixf_s.o _floatuntitf_s.o _divdi3_s.o _moddi3_s.o _divmoddi4_s.o _udivdi3_s.o _umoddi3_s.o _udivmoddi4_s.o _udiv_w_sdiv_s.o _divmodbitint4_s.o ibm-ldouble_s.o tramp_s.o enable-execute-stack_s.o hardcfr_s.o strub_s.o unwind-dw2_s.o unwind-dw2-fde-dip_s.o unwind-sjlj_s.o unwind-c_s.o emutls_s.o libgcc.a -lc && rm -f ./libgcc_s.so && if [ -f ./libgcc_s.so.1 ]; then mv -f ./libgcc_s.so.1 ./libgcc_s.so.1.backup; else true; fi && mv ./libgcc_s.so.1.tmp ./libgcc_s.so.1 && (echo "/* GNU ld script"; echo " Use the shared library, but some functions are only in"; echo " the static library. */"; echo "GROUP ( libgcc_s.so.1 -lgcc )" ) > ./libgcc_s.so # Now that we have built all the objects, we need to copy # them back to the GCC directory. Too many things (other # in-tree libraries, and DejaGNU) know about the layout # of the build tree, for now. /usr/bin/make install-leaf DESTDIR=../.././gcc \ slibdir= libsubdir= MULTIOSDIR=. make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc_eh.a ../.././gcc/ chmod 644 ../.././gcc/libgcc_eh.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc; /usr/bin/install -c -m 644 ./libgcc_s.so.1 ../.././gcc/libgcc_s.so.1; rm -f ../.././gcc/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so ../.././gcc/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs ../.././gcc /usr/bin/install -c -m 644 libgcc.a ../.././gcc/ chmod 644 ../.././gcc/libgcc.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/libgcc.a /usr/bin/install -c -m 644 libgcov.a ../.././gcc/ chmod 644 ../.././gcc/libgcov.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o ecrti.o ecrtn.o ncrti.o ncrtn.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o crtoffloadtableS.o"; \ for file in $parts; do \ rm -f ../.././gcc/$file; \ /usr/bin/install -c -m 644 $file ../.././gcc/; \ case $file in \ *.a) \ powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/$file ;; \ esac; \ done make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' make[3]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' /usr/bin/make -C /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc ghdllib \ GHDL_GCC_BIN=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl \ GHDL1_GCC_BIN="--GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1" make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/gcc/vhdl" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL="/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl" GHDL_FLAGS="--GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/vhdl/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/vhdl/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/std/v87/std-obj87.cf cd lib/ghdl/gcc/vhdl/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v87/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/gcc/vhdl/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/gcc/vhdl/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/gcc/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/gcc/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/gcc/vhdl/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/gcc/vhdl/ieee/v87/ieee-obj87.cf cd lib/ghdl/gcc/vhdl/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/vhdl/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/vhdl/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/gcc/vhdl/std/v93/std-obj93.cf cd lib/ghdl/gcc/vhdl/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v93/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/gcc/vhdl/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/gcc/vhdl/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/ieee/v93/ieee-obj93.cf cd lib/ghdl/gcc/vhdl/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/gcc/vhdl/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/gcc/vhdl/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/gcc/vhdl/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/gcc/vhdl/src/std/env-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/std/v08/std-obj08.cf cd lib/ghdl/gcc/vhdl/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v08/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/gcc/vhdl/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/gcc/vhdl/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/gcc/vhdl/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/gcc/vhdl/ieee/v08/ieee-obj08.cf cd lib/ghdl/gcc/vhdl/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_ufixed; ^ ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_sfixed; ^ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNSIGNED; -- remainder to round from ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] variable remainder : UNSIGNED (2 downto 0); ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] arg : UNSIGNED) ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found cp ../../libraries/ieee2008/LICENSE lib/ghdl/gcc/vhdl/src/ieee2008/LICENSE cd lib/ghdl/gcc/vhdl/std/v87; /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=87 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v87/' not found cd lib/ghdl/gcc/vhdl/std/v93; /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=93 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v93/' not found cd lib/ghdl/gcc/vhdl/std/v08; /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl1 --std=08 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/ghdl:warning: ieee library directory '/usr/lib/ghdl/gcc/lib/ghdl/gcc/vhdl/ieee/v08/' not found make[3]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o jumps.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/config/jumps.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/config/jumps.c:138:1: warning: ‘grt_overflow_handler’ defined but not used [-Wunused-function] 138 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr) | ^~~~~~~~~~~~~~~~~~~~ gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o times.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/config/times.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-cstdio.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt-cstdio.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-cgnatrts.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt-cgnatrts.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-no_sundials_c.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt-no_sundials_c.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-cvpi.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt-cvpi.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-cvhpi.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt-cvhpi.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-cdynload.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt-cdynload.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o fstapi.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/fst/fstapi.c -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/fst gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o lz4.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/fst/lz4.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o fastlz.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Gcc;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Gcc;" >> grt-backtraces-impl.ads cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -c -aI/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... -> "ghdl_main.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/ghdl_main.adb ghdl_main.adb:45:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] ghdl_main.adb:45:04: warning: use -fno-strict-aliasing switch for references [enabled by default] ghdl_main.adb:45:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] "grt.ali" being checked ... -> "grt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt.ads "grt-errors.ali" being checked ... -> "grt-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-errors.adb "grt-heap.ali" being checked ... -> "grt-heap.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-heap.adb "grt-main.ali" being checked ... -> "grt-main.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-main.adb "grt-modules.ali" being checked ... -> "grt-modules.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-modules.adb "grt-no_analog_solver.ali" being checked ... -> "grt-no_analog_solver.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-no_analog_solver.adb "grt-options.ali" being checked ... -> "grt-options.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-options.adb "grt-rtis_binding.ali" being checked ... -> "grt-rtis_binding.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rtis_binding.ads "grt-std_logic_1164.ali" being checked ... -> "grt-std_logic_1164.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-std_logic_1164.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-types.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-c.ads "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-backtraces.adb "grt-disp_rti.ali" being checked ... -> "grt-disp_rti.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-disp_rti.adb "grt-disp_tree.ali" being checked ... -> "grt-disp_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-disp_tree.adb "grt-fst.ali" being checked ... -> "grt-fst.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-fst.adb "grt-psl.ali" being checked ... -> "grt-psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-psl.adb "grt-vcd.ali" being checked ... -> "grt-vcd.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vcd.adb "grt-vcdz.ali" being checked ... -> "grt-vcdz.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vcdz.adb "grt-vhpi.ali" being checked ... -> "grt-vhpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vhpi.adb "grt-vital_annotate.ali" being checked ... -> "grt-vital_annotate.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vital_annotate.adb "grt-vpi.ali" being checked ... -> "grt-vpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vpi.adb "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-waves.adb "grt-rtis.ali" being checked ... -> "grt-rtis.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rtis.adb "grt-change_generics.ali" being checked ... -> "grt-change_generics.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-change_generics.adb "grt-files_lib.ali" being checked ... -> "grt-files_lib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-files_lib.adb "grt-hooks.ali" being checked ... -> "grt-hooks.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-hooks.adb "grt-images.ali" being checked ... -> "grt-images.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-images.adb "grt-lib.ali" being checked ... -> "grt-lib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-lib.adb "grt-names.ali" being checked ... -> "grt-names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-names.adb "grt-processes.ali" being checked ... -> "grt-processes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-processes.adb "grt-shadow_ieee.ali" being checked ... -> "grt-shadow_ieee.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-shadow_ieee.adb "grt-signals.ali" being checked ... -> "grt-signals.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-signals.adb "grt-stats.ali" being checked ... -> "grt-stats.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-stats.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-stdio.ads "grt-values.ali" being checked ... -> "grt-values.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-values.adb "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vhdl_types.ads "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-astdio.adb "grt-astdio-vhdl.ali" being checked ... -> "grt-astdio-vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-astdio-vhdl.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-severity.ads "grt-zlib.ali" being checked ... -> "grt-zlib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-zlib.ads "grt-backtraces-impl.ali" being checked ... -> "grt-backtraces-impl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/grt-backtraces-impl.ads "grt-threads.ali" being checked ... -> "grt-threads.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-threads.ads "grt-errors_exec.ali" being checked ... -> "grt-errors_exec.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-errors_exec.adb "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rtis_addr.adb "grt-rtis_utils.ali" being checked ... -> "grt-rtis_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rtis_utils.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-fcvt.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-strings.adb "grt-wave_opt.ali" being checked ... -> "grt-wave_opt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-wave_opt.adb "grt-wave_opt-file.ali" being checked ... -> "grt-wave_opt-file.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-wave_opt-file.adb "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-avhpi.adb "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-fst_api.ads "grt-rtis_types.ali" being checked ... -> "grt-rtis_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rtis_types.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-table.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-to_strings.adb "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-wave_opt-design.adb "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-avhpi_utils.adb "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-callbacks.adb "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-sdf.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-files.adb "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rstrings.adb "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-arith.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vstrings.adb "grt-disp.ali" being checked ... -> "grt-disp.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-disp.adb "grt-backtraces-gcc.ali" being checked ... -> "grt-backtraces-gcc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-backtraces-gcc.adb "grt-unithread.ali" being checked ... -> "grt-unithread.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-unithread.adb "grt-analog_solver.ali" being checked ... -> "grt-analog_solver.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-analog_solver.ads "grt-disp_signals.ali" being checked ... -> "grt-disp_signals.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-disp_signals.adb "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-stack2.adb "grt-vstrings_io.ali" being checked ... -> "grt-vstrings_io.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vstrings_io.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-algos.adb "grt-avls.ali" being checked ... -> "grt-avls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-avls.adb "grt-ghw.ali" being checked ... -> "grt-ghw.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-ghw.ads "version.ali" being checked ... -> "version.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/version.ads End of compilation sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j8 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. powerpc64le-linux-gnu-gnatbind-14 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... -> "run-bind.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY run-bind.adb End of compilation cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... -> "main.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/ -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -Igrt -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/main.adb End of compilation sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/vhdl/libgrt.a ar rcv lib/ghdl/gcc/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-errors_exec.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-backtraces.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/vhdl/libgrt.a gcc-14 -c -fPIC -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o pic/grt-cstdio.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt-cstdio.c gcc-14 -c -fPIC -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o pic/grt-cdynload.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt-cdynload.c VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#5.0.1#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gnatmake -v -j8 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-5_0_1.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -R -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-5_0_1.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "lib/libghdl-5_0_1.so" final executable "libghdl.ali" being checked ... -> "libghdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/libghdl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/libghdl/libghdl.adb "errorout.ali" being checked ... -> "errorout.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/errorout.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/errorout.adb "errorout-memory.ali" being checked ... -> "errorout-memory.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/errorout-memory.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/errorout-memory.adb "files_map.ali" being checked ... -> "files_map.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/files_map.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/files_map.adb "files_map-editor.ali" being checked ... -> "files_map-editor.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/files_map-editor.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/files_map-editor.adb "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/ghdlcomp.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlcomp.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/ghdllocal.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdllocal.adb "options.ali" being checked ... -> "options.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/options.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/options.adb "vhdl.ali" being checked ... -> "vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl.ads "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-formatters.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-formatters.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/ghdlsynth_maybe.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/ghdlsynth_maybe.ads "types.ali" being checked ... -> "types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/types.ads "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-nodes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/dyn_tables.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/dyn_tables.adb "tables.ali" being checked ... -> "tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/tables.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/tables.adb "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/ghdlsynth.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlsynth.adb "elab.ali" being checked ... -> "elab.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab.ads "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_objtypes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_objtypes.adb "file_comments.ali" being checked ... -> "file_comments.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/file_comments.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/file_comments.adb "flags.ali" being checked ... -> "flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/flags.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/flags.adb "libraries.ali" being checked ... -> "libraries.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/libraries.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/name_table.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/name_table.adb "outputs.ali" being checked ... -> "outputs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/outputs.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/outputs.adb "psl.ali" being checked ... -> "psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl.ads "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-dump_tree.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-dump_tree.adb "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-nodes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nodes.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/simple_io.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/simple_io.adb "std_names.ali" being checked ... -> "std_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/std_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/std_names.adb "str_table.ali" being checked ... -> "str_table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/str_table.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/str_table.adb "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-back_end.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-back_end.adb "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-disp_tree.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-disp_tree.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-errors.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-lists.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-parse.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-parse.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-scanner.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-scanner.adb "grt.ali" being checked ... -> "grt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt.ads "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-vstrings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vstrings.adb "utils_io.ali" being checked ... -> "utils_io.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/utils_io.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/utils_io.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-prints.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-prints.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-tokens.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-tokens.adb "logging.ali" being checked ... -> "logging.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/logging.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/logging.adb "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/ghdlmain.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlmain.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-configuration.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-configuration.adb "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_lib.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-std_package.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-utils.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-algos.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-algos.adb "grt-c.ali" being checked ... -> "grt-c.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-c.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-c.ads "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-stdio.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-stdio.ads "bug.ali" being checked ... -> "bug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/bug.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/bug.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-debugger.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-debugger.adb "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_annotations.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_annotations.adb "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_context.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_context.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_errors.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_insts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_insts.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/errorout-console.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/errorout-console.adb "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/ghdlverilog.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlverilog.adb "netlists.ali" being checked ... -> "netlists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists.adb "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-disp_dot.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-disp_verilog.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-disp_verilog.adb "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-disp_vhdl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-disp_vhdl.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-dump.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-dump.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-errors.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-inference.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-inference.adb "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-rename.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-rename.adb "synth.ali" being checked ... -> "synth.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth.ads "synth-context.ali" being checked ... -> "synth-context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-context.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-context.ads "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-disp_vhdl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-disp_vhdl.adb "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-flags.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-flags.ads "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_context.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_context.adb "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_foreign.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_foreign.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synthesis.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synthesis.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-canon.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-canon.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-types.ads "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-nodes_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nodes_meta.adb "psl-types.ali" being checked ... -> "psl-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-types.ads "filesystem.ali" being checked ... -> "filesystem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/filesystem.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/filesystem.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-types.ads "mutils.ali" being checked ... -> "mutils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/mutils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/mutils.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/types_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/types_utils.adb "areapools.ali" being checked ... -> "areapools.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/areapools.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-memtype.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-memtype.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_specs.adb "default_paths.ali" being checked ... -> "default_paths.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/default_paths.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/default_paths.ads "version.ali" being checked ... -> "version.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/version.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/version.ads "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-hash.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-hash.adb "lists.ali" being checked ... -> "lists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/lists.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/lists.adb "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-nodes_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_meta.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-post_sems.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-evaluation.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-nodes_walk.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_scopes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_scopes.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-fcvt.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-fcvt.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-nodes_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_utils.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-elocations.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-elocations.adb "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-ieee.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_assocs.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_assocs.adb "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_expr.adb "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_inst.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_psl.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_stmts.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sem_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_types.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-xrefs.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-xrefs.adb "debuggers.ali" being checked ... -> "debuggers.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/debuggers.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/debuggers.adb "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_context-debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_context-debug.adb "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_debug.adb "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-verilog_insts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_insts.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_insts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_insts.adb "verilog.ali" being checked ... -> "verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog.ads "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-elaborate.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-elaborate.adb "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-errors.adb "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-find_top.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-find_top.adb "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-flags.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-flags.ads "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-nodes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-nodes.adb "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-nutils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-nutils.adb "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-parse.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-parse.adb "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-scans.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-scans.adb "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem.adb "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem_instances.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_instances.adb "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem_scopes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_scopes.adb "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_types.adb "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-vhdl_export.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-vhdl_export.adb "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-vpi.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-vpi.adb "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_utils.adb verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-iterators.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-iterators.adb "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-utils.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_values.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_values.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_decls.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_expr.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_files.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_files.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_stmts.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_types.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_expr.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_stmts.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-locations.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-locations.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-gates.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-gates.ads "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-builders.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-builders.adb "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-folds.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-folds.adb "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-gates_ports.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-gates_ports.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-internings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-internings.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-memories.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-memories.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-errors.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-severity.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-severity.ads "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/dyn_maps.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/dyn_maps.adb "hash.ali" being checked ... -> "hash.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/hash.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/hash.adb "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_environment.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_environment.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-nfas.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nfas.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-prints.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-prints.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-priorities.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-priorities.ads "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/dyn_interning.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/dyn_interning.adb "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-dynload.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-dynload.ads "interning.ali" being checked ... -> "interning.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/interning.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/interning.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_values-debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_values-debug.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-cleanup.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-expands.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-expands.adb "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-nodes_priv.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nodes_priv.ads "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-nodes_priv.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_priv.ads "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-comments.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-comments.adb "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-parse_psl.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-math_real.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-ieee-numeric.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-ieee-numeric_std_unsigned.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_arith.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_misc.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-ieee-std_logic_unsigned.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-std_env.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-std_env.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-build.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-build.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-nfas-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-rewrites.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-canon_psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-canon_psl.adb "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-elocations_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-elocations_meta.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-subsets.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-subsets.adb "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-flists.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-flists.ads "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-readline.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/grt-readline.ads "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-to_strings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-to_strings.adb "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-vhdl_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vhdl_types.ads "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_eval.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_eval.adb "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/vhdl-sensitivity_checks.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sensitivity_checks.adb "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-tokens.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-tokens.adb "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-verilog_context.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_context.adb "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-verilog_elaboration.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_elaboration.adb "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-verilog_environment.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_environment.adb "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-verilog_exprs.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_exprs.adb "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-verilog_sources.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_sources.adb "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-verilog_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_stmts.adb "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-verilog_values.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_values.adb "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-allocates.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-allocates.adb "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-bignums.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-bignums.adb "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-disp_verilog.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-disp_verilog.adb "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_names.adb "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-storages.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-storages.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_heap.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_heap.adb "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-astdio.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-astdio.adb "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-nodes_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-nodes_meta.adb "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-resolve_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-resolve_names.adb "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_decls.adb "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem_eval.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_eval.adb "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_expr.adb "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_stmts.adb "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem_upwards.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_upwards.adb "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sem_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_utils.adb "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-standard.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-standard.ads "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-types.ads "name_maps.ali" being checked ... -> "name_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/name_maps.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/name_maps.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-concats.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-concats.adb "synth-source.ali" being checked ... -> "synth-source.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-source.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-source.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_decls.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_oper.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_oper.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-files.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-files.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-files_operations.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-files_operations.adb "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-rstrings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rstrings.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-strings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-strings.adb "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-executions.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-executions.adb "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-simulation.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-simulation.adb "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sv_strings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_strings.adb "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-bn_tables.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-bn_tables.ads "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-macros.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-macros.ads "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_aggr.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_aggr.adb "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/netlists-butils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-butils.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-cse.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-cse.adb "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-readline_none.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-readline_none.adb "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/elab-vhdl_prot.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_prot.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-vhdl_static_proc.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_static_proc.adb "flists.ali" being checked ... -> "flists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/flists.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/flists.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-disp_nfas.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-optimize.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-optimize.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/psl-qm.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-qm.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-environment.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-environment-debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-environment-debug.adb "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-verilog_errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_errors.adb "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-abi.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-abi.ads "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sv_arrays.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_arrays.adb "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sv_classes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_classes.ads "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sv_maps.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_maps.adb "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-sv_queues.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_queues.adb "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-arith.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-arith.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-ieee.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-ieee-numeric_std.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-ieee-std_logic_1164.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-std_logic_1164.adb "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-ieee-std_logic_arith.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-std_logic_arith.adb "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-disp_tree.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-disp_tree.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/grt-table.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-table.adb "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/verilog-debugger.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-debugger.adb "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/synth-ieee-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-utils.adb End of compilation powerpc64le-linux-gnu-gnatbind-14 -aI. -aO/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/libghdl.ali powerpc64le-linux-gnu-gnatlink-14 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/pic/libghdl.ali -shared-libgcc -o lib/libghdl-5_0_1.so -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -R -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-5_0_1.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o -R sed -e '1,/-- BEGIN/d' -e '/-- END/,$d' -e 's/^ -- //' < b~libghdl.adb > libghdl.bind rm -f -f libghdl.a ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o pic/grt-cdynload.o `sed -e /^-/d < libghdl.bind` grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link gcc-14 -c -o ghwdump.o ../../ghw/ghwdump.c -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong gcc-14 -fPIC -c -o libghw.o ../../ghw/libghw.c -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong gcc-14 -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o gcc-14 -fPIC -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' touch /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/stamps/build-gcc dh_testdir ------------------------------------------------------------ Building with llvm backend ------------------------------------------------------------ /usr/bin/make -C /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#5.0.1#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-cstdio.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-cdynload.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt-cdynload.c make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o grt-cdynload.o" \ LDFLAGS="-Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-14" \ LLVM_LDFLAGS='`llvm-config --ldflags --libs --system-libs`' \ CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong" \ GNATMAKE="gnatmake -v -j8 -R -eS " all make[3]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' g++-14 -c `llvm-config --cxxflags` -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o llvm-cbindings.o ../../src/ortho/llvm6/llvm-cbindings.cpp ../../src/ortho/llvm6/llvm-cbindings.cpp: In function ‘OGnode new_global_selected_element(OGnode, OFnodeBase*)’: ../../src/ortho/llvm6/llvm-cbindings.cpp:2687:25: warning: ‘Res’ may be used uninitialized [-Wmaybe-uninitialized] 2687 | return {Res, El->FType}; | ^ ../../src/ortho/llvm6/llvm-cbindings.cpp:2671:16: note: ‘Res’ was declared here 2671 | LLVMValueRef Res; | ^~~ ../../src/ortho/llvm6/llvm-cbindings.cpp: In function ‘OLnode new_selected_element(OLnode*, OFnodeBase*)’: ../../src/ortho/llvm6/llvm-cbindings.cpp:2812:34: warning: ‘Res’ may be used uninitialized [-Wmaybe-uninitialized] 2812 | return { false, Res, El->FType }; | ^ ../../src/ortho/llvm6/llvm-cbindings.cpp:2791:16: note: ‘Res’ was declared here 2791 | LLVMValueRef Res; | ^~~ gnatmake -v -j8 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-14 \ `llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... -> "ortho_code_main.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ortho/llvm6/ortho_code_main.adb "ortho_front.ali" being checked ... -> "ortho_front.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/ortho_front.adb "ortho_llvm.ali" being checked ... -> "ortho_llvm.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ortho/llvm6/ortho_llvm.adb "ortho_ident.ali" being checked ... -> "ortho_ident.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ortho/llvm6/ortho_ident.adb "bug.ali" being checked ... -> "bug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/bug.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/dyn_interning.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/dyn_maps.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/dyn_tables.adb "errorout.ali" being checked ... -> "errorout.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/errorout.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/errorout-console.adb "flags.ali" being checked ... -> "flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/flags.adb "hash.ali" being checked ... -> "hash.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/hash.adb "interning.ali" being checked ... -> "interning.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/interning.adb "libraries.ali" being checked ... -> "libraries.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/name_table.adb "options.ali" being checked ... -> "options.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/options.adb "translation.ali" being checked ... -> "translation.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/translation.adb "types.ali" being checked ... -> "types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/types.ads "vhdl.ali" being checked ... -> "vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl.ads "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-back_end.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-configuration.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-errors.adb "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes.adb "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_lib.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-utils.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/simple_io.adb "version.ali" being checked ... -> "version.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata version.ads "files_map.ali" being checked ... -> "files_map.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/files_map.adb "filesystem.ali" being checked ... -> "filesystem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/filesystem.adb "std_names.ali" being checked ... -> "std_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/std_names.adb "str_table.ali" being checked ... -> "str_table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/str_table.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_specs.adb "elab.ali" being checked ... -> "elab.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab.ads "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_objtypes.adb "file_comments.ali" being checked ... -> "file_comments.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/file_comments.adb "outputs.ali" being checked ... -> "outputs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/outputs.adb "psl.ali" being checked ... -> "psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl.ads "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-dump_tree.adb "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nodes.adb "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-disp_tree.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-parse.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-scanner.adb "logging.ali" being checked ... -> "logging.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/logging.adb "tables.ali" being checked ... -> "tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/tables.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-canon.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-post_sems.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-prints.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_scopes.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-tokens.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_utils.adb "ortho_nodes.ali" being checked ... -> "ortho_nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ortho/llvm6/ortho_nodes.ads "trans.ali" being checked ... -> "trans.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans.adb "trans-chap1.ali" being checked ... -> "trans-chap1.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap1.adb "trans-chap12.ali" being checked ... -> "trans-chap12.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap12.adb "trans-chap2.ali" being checked ... -> "trans-chap2.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap2.adb "trans-chap3.ali" being checked ... -> "trans-chap3.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap3.adb "trans-chap4.ali" being checked ... -> "trans-chap4.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap4.adb "trans-chap7.ali" being checked ... -> "trans-chap7.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap7.adb "trans-coverage.ali" being checked ... -> "trans-coverage.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-coverage.adb "trans-helpers2.ali" being checked ... -> "trans-helpers2.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-helpers2.adb "trans-rtis.ali" being checked ... -> "trans-rtis.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-rtis.adb "trans_decls.ali" being checked ... -> "trans_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans_decls.ads "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_inst.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-elocations.adb "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_assocs.adb "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_expr.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_psl.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_stmts.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_types.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-xrefs.adb "psl-types.ali" being checked ... -> "psl-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-types.ads "grt.ali" being checked ... -> "grt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-c.ads "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-stdio.ads "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nodes_meta.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-algos.adb "utils_io.ali" being checked ... -> "utils_io.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/utils_io.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-types.ads "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_meta.adb "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-hash.adb "lists.ali" being checked ... -> "lists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/lists.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-math_real.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-std_env.adb "mutils.ali" being checked ... -> "mutils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/mutils.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/types_utils.adb "areapools.ali" being checked ... -> "areapools.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-memtype.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-types.ads "psl-build.ali" being checked ... -> "psl-build.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-build.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nfas.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-canon_psl.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-fcvt.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vstrings.adb "trans-chap6.ali" being checked ... -> "trans-chap6.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap6.adb "trans-chap5.ali" being checked ... -> "trans-chap5.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap5.adb "trans-chap9.ali" being checked ... -> "trans-chap9.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap9.adb "trans-chap8.ali" being checked ... -> "trans-chap8.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap8.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_types.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-to_strings.adb "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vhdl_types.ads "synth.ali" being checked ... -> "synth.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth.ads "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_eval.adb "trans-foreach_non_composite.ali" being checked ... -> "trans-foreach_non_composite.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-foreach_non_composite.adb "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_priv.ads "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-comments.adb "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-parse_psl.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-prints.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-priorities.ads "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-elocations_meta.adb "trans-chap14.ali" being checked ... -> "trans-chap14.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans-chap14.adb "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-subsets.adb "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-flists.ads "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nodes_priv.ads "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sensitivity_checks.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-cse.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-optimize.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-qm.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-strings.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_decls.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_errors.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_expr.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_values.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-errors.adb "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_context.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_expr.adb "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_context.adb "flists.ali" being checked ... -> "flists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/flists.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_files.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_insts.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_decls.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_stmts.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-files.adb "trans_analyzes.ali" being checked ... -> "trans_analyzes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/translate/trans_analyzes.adb "netlists.ali" being checked ... -> "netlists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists.adb "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-folds.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-locations.adb "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-builders.adb "synth-context.ali" being checked ... -> "synth-context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-context.ads "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_environment.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_heap.adb "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rstrings.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-files_operations.adb "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_prot.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-gates.ads "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-utils.adb "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-flags.ads "synth-source.ali" being checked ... -> "synth-source.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-source.adb "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_annotations.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-table.adb "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-arith.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-std_logic_1164.adb "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-std_logic_arith.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_stmts.adb "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_aggr.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_oper.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-severity.ads "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-utils.adb "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_utils.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-debugger.adb "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_debug.adb "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_foreign.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_insts.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_static_proc.adb "debuggers.ali" being checked ... -> "debuggers.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/debuggers.adb "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_context-debug.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_values-debug.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-environment-debug.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-concats.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-dump.adb "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-gates_ports.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-inference.adb "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-dynload.ads "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata grt-readline.ads "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-astdio.adb "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-readline_none.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-errors.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-internings.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-memories.adb "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-iterators.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synthesis.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-expands.adb "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_insts.adb "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-butils.adb "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_context.adb "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_elaboration.adb "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_environment.adb "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_exprs.adb "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_sources.adb "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_stmts.adb "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_values.adb "verilog.ali" being checked ... -> "verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog.ads "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-allocates.adb "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-bignums.adb "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-disp_verilog.adb "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-elaborate.adb "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-errors.adb "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-nodes.adb "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-nutils.adb "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem.adb "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_instances.adb "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_names.adb "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-storages.adb "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-vpi.adb verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-types.ads "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_scopes.adb "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_utils.adb "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_errors.adb "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-tokens.adb "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-executions.adb "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-standard.ads "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-nodes_meta.adb "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-flags.ads "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-resolve_names.adb "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_decls.adb "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_eval.adb "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_expr.adb "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_stmts.adb "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_types.adb "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_upwards.adb "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-abi.ads "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-simulation.adb "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_arrays.adb "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_classes.ads "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_maps.adb "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_queues.adb "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_strings.adb "name_maps.ali" being checked ... -> "name_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/name_maps.adb "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-disp_tree.adb "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-bn_tables.ads "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-parse.adb "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-debugger.adb "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-scans.adb "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src/ortho/llvm6 -I../../src/ortho -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-macros.ads End of compilation powerpc64le-linux-gnu-gnatbind-14 -aI../../src/ortho/llvm6 -aI../../src/ortho -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul -E -x ortho_code_main.ali powerpc64le-linux-gnu-gnatlink-14 ortho_code_main.ali -o ghdl1-llvm -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-14 -L/usr/lib/llvm-19/lib -lLLVM-19 -Wl,-z,relro -Wl,-z,now -R make[3]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' gnatmake -v -j8 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -static \ -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... -> "ghdl_llvm.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdl_llvm.adb "default_paths.ali" being checked ... -> "default_paths.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata default_paths.ads "ghdldrv.ali" being checked ... -> "ghdldrv.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdldrv.adb "ghdllib.ali" being checked ... -> "ghdllib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdllib.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdllocal.adb "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlmain.adb "ghdlprint.ali" being checked ... -> "ghdlprint.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlprint.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ghdlsynth_maybe.ads "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlverilog.adb "ghdlvpi.ali" being checked ... -> "ghdlvpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlvpi.adb "ghdlxml.ali" being checked ... -> "ghdlxml.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlxml.adb ghdldrv.adb:583:22: warning: value not in range of subtype of "Standard.Integer" defined at default_paths.ads:44 [enabled by default] ghdldrv.adb:583:22: warning: Constraint_Error will be raised at run time [enabled by default] ghdldrv.adb:589:35: warning: value not in range of subtype of "Standard.Integer" defined at default_paths.ads:44 [enabled by default] ghdldrv.adb:589:35: warning: Constraint_Error will be raised at run time [enabled by default] "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlsynth.adb "filesystem.ali" being checked ... "types.ali" being checked ... "version.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlcomp.adb "libraries.ali" being checked ... "name_table.ali" being checked ... "std_names.ali" being checked ... "synth.ali" being checked ... "synth-verilog_insts.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synthesis.ali" being checked ... "verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-find_top.adb "verilog-flags.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-vhdl_export.adb "verilog-vpi.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-types.ali" being checked ... "areapools.ali" being checked ... "dyn_tables.ali" being checked ... "elab-memtype.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "tables.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "elab-vhdl_context.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "options.ali" being checked ... "simple_io.ali" being checked ... "flags.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-tokens.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "netlists.ali" being checked ... "netlists-builders.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-utils.ali" being checked ... "synth-errors.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "types_utils.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "synth-context.ali" being checked ... "synth-flags.ali" being checked ... "elab-debugger.ali" being checked ... "grt.ali" being checked ... "grt-algos.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-folds.ali" being checked ... "synth-source.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "netlists-memories.ali" being checked ... "verilog-tokens.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-standard.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "grt-astdio.ali" being checked ... "grt-stdio.ali" being checked ... "grt-types.ali" being checked ... "name_maps.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_strings.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-sem.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-strings.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "grt-files.ali" being checked ... "grt-files_operations.ali" being checked ... "mutils.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "psl-errors.ali" being checked ... "psl-nodes.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "grt-severity.ali" being checked ... "psl-nfas.ali" being checked ... "psl-subsets.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_types.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "file_comments.ali" being checked ... "outputs.ali" being checked ... "psl-dump_tree.ali" being checked ... "vhdl-back_end.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-vstrings.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-inference.ali" being checked ... "synth-verilog_errors.ali" being checked ... "utils_io.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-disp_tree.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "netlists-butils.ali" being checked ... "netlists-errors.ali" being checked ... "grt-c.ali" being checked ... "verilog-debugger.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "lists.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "psl-nodes_meta.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "vhdl-xrefs.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "grt-arith.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "grt-table.ali" being checked ... "psl-hash.ali" being checked ... "grt-dynload.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-internings.ali" being checked ... "grt-readline.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-readline_none.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-disp_verilog.adb "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-disp_vhdl.adb "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-rename.adb "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-disp_vhdl.adb "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/ghdldrv -I../../src/ortho -I../../src/ortho/llvm6 -I../../src/synth -I../../src/simul -I../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-formatters.adb End of compilation powerpc64le-linux-gnu-gnatbind-14 -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul -aI../../src/ghdldrv -static -x ghdl_llvm.ali powerpc64le-linux-gnu-gnatlink-14 ghdl_llvm.ali -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o -R gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o jumps.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/config/jumps.c /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/config/jumps.c:138:1: warning: ‘grt_overflow_handler’ defined but not used [-Wunused-function] 138 | grt_overflow_handler (int signo, siginfo_t *info, void *ptr) | ^~~~~~~~~~~~~~~~~~~~ gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o times.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/config/times.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-cgnatrts.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt-cgnatrts.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-no_sundials_c.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt-no_sundials_c.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-cvpi.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt-cvpi.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o grt-cvhpi.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt-cvhpi.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o fstapi.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/fst/fstapi.c -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/fst gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o lz4.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/fst/lz4.c gcc-14 -c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o fastlz.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/fst/fastlz.c mkdir grt echo "with Grt.Backtraces.Jit;" > grt-backtraces-impl.ads echo "package Grt.Backtraces.Impl renames Grt.Backtraces.Jit;" >> grt-backtraces-impl.ads cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -c -aI/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... -> "ghdl_main.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/ghdl_main.adb ghdl_main.adb:45:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] ghdl_main.adb:45:04: warning: use -fno-strict-aliasing switch for references [enabled by default] ghdl_main.adb:45:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] "grt.ali" being checked ... -> "grt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt.ads "grt-errors.ali" being checked ... -> "grt-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-errors.adb "grt-heap.ali" being checked ... -> "grt-heap.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-heap.adb "grt-main.ali" being checked ... -> "grt-main.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-main.adb "grt-modules.ali" being checked ... -> "grt-modules.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-modules.adb "grt-no_analog_solver.ali" being checked ... -> "grt-no_analog_solver.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-no_analog_solver.adb "grt-options.ali" being checked ... -> "grt-options.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-options.adb "grt-rtis_binding.ali" being checked ... -> "grt-rtis_binding.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rtis_binding.ads "grt-std_logic_1164.ali" being checked ... -> "grt-std_logic_1164.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-std_logic_1164.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-types.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-c.ads "grt-rtis.ali" being checked ... -> "grt-rtis.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rtis.adb "grt-change_generics.ali" being checked ... -> "grt-change_generics.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-change_generics.adb "grt-files_lib.ali" being checked ... -> "grt-files_lib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-files_lib.adb "grt-hooks.ali" being checked ... -> "grt-hooks.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-hooks.adb "grt-images.ali" being checked ... -> "grt-images.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-images.adb "grt-lib.ali" being checked ... -> "grt-lib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-lib.adb "grt-names.ali" being checked ... -> "grt-names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-names.adb "grt-processes.ali" being checked ... -> "grt-processes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-processes.adb "grt-shadow_ieee.ali" being checked ... -> "grt-shadow_ieee.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-shadow_ieee.adb "grt-signals.ali" being checked ... -> "grt-signals.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-signals.adb "grt-stats.ali" being checked ... -> "grt-stats.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-stats.adb "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-stdio.ads "grt-values.ali" being checked ... -> "grt-values.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-values.adb "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vhdl_types.ads "grt-backtraces.ali" being checked ... -> "grt-backtraces.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-backtraces.adb "grt-disp_rti.ali" being checked ... -> "grt-disp_rti.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-disp_rti.adb "grt-disp_tree.ali" being checked ... -> "grt-disp_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-disp_tree.adb "grt-fst.ali" being checked ... -> "grt-fst.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-fst.adb "grt-psl.ali" being checked ... -> "grt-psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-psl.adb "grt-vcd.ali" being checked ... -> "grt-vcd.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vcd.adb "grt-vcdz.ali" being checked ... -> "grt-vcdz.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vcdz.adb "grt-vhpi.ali" being checked ... -> "grt-vhpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vhpi.adb "grt-vital_annotate.ali" being checked ... -> "grt-vital_annotate.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vital_annotate.adb "grt-vpi.ali" being checked ... -> "grt-vpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vpi.adb "grt-waves.ali" being checked ... -> "grt-waves.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-waves.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-severity.ads "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-astdio.adb "grt-astdio-vhdl.ali" being checked ... -> "grt-astdio-vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-astdio-vhdl.adb "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rstrings.adb "grt-rtis_addr.ali" being checked ... -> "grt-rtis_addr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rtis_addr.adb "grt-rtis_utils.ali" being checked ... -> "grt-rtis_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rtis_utils.adb "grt-callbacks.ali" being checked ... -> "grt-callbacks.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-callbacks.adb "grt-avhpi.ali" being checked ... -> "grt-avhpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-avhpi.adb "grt-avhpi_utils.ali" being checked ... -> "grt-avhpi_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-avhpi_utils.adb "grt-errors_exec.ali" being checked ... -> "grt-errors_exec.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-errors_exec.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-files.adb "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-arith.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-fcvt.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-to_strings.adb "grt-disp.ali" being checked ... -> "grt-disp.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-disp.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-strings.adb "grt-wave_opt.ali" being checked ... -> "grt-wave_opt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-wave_opt.adb "grt-wave_opt-file.ali" being checked ... -> "grt-wave_opt-file.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-wave_opt-file.adb "grt-backtraces-impl.ali" being checked ... -> "grt-backtraces-impl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/grt-backtraces-impl.ads "grt-threads.ali" being checked ... -> "grt-threads.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-threads.ads "grt-zlib.ali" being checked ... -> "grt-zlib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-zlib.ads "grt-analog_solver.ali" being checked ... -> "grt-analog_solver.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-analog_solver.ads "grt-disp_signals.ali" being checked ... -> "grt-disp_signals.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-disp_signals.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-table.adb "grt-stack2.ali" being checked ... -> "grt-stack2.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-stack2.adb "grt-fst_api.ali" being checked ... -> "grt-fst_api.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-fst_api.ads "grt-rtis_types.ali" being checked ... -> "grt-rtis_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rtis_types.adb "grt-wave_opt-design.ali" being checked ... -> "grt-wave_opt-design.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-wave_opt-design.adb "grt-sdf.ali" being checked ... -> "grt-sdf.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-sdf.adb "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vstrings.adb "grt-vstrings_io.ali" being checked ... -> "grt-vstrings_io.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vstrings_io.adb "grt-backtraces-jit.ali" being checked ... -> "grt-backtraces-jit.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-backtraces-jit.adb "grt-unithread.ali" being checked ... -> "grt-unithread.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-unithread.adb "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-algos.adb "grt-avls.ali" being checked ... -> "grt-avls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-avls.adb "grt-ghw.ali" being checked ... -> "grt-ghw.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-ghw.ads "version.ali" being checked ... -> "version.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I./ -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -I.. -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/version.ads End of compilation sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j8 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. powerpc64le-linux-gnu-gnatbind-14 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... -> "run-bind.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY run-bind.adb End of compilation cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... -> "main.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/ -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -Igrt -I- /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/main.adb End of compilation sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/vhdl/libgrt.a ar rcv lib/ghdl/llvm/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-errors_exec.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-backtraces.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/vhdl/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/vhdl/grt.lst echo "# link options for executables" > lib/ghdl/llvm/vhdl/grt-exec.lst for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/llvm/vhdl/grt-exec.lst; done echo "# link options for shared libraries" > lib/ghdl/llvm/vhdl/grt-shared.lst for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/llvm/vhdl/grt-shared.lst; done cp /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.ver lib/ghdl/llvm/vhdl/grt.ver make -f ../../libraries/Makefile.inc LIBSRC_DIR="../../libraries" LIBDST_DIR="lib/ghdl/llvm/vhdl" enable_gplcompat="true" LN="ln -s" CP="cp" MKDIR="mkdir" GHDL=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm GHDL_FLAGS="--GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm " vhdl.libs.all libs.vhdl.standard make[3]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/vhdl/src/std/v87/textio.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/vhdl/src/std/v87/textio-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/std/v87/std-obj87.cf cd lib/ghdl/llvm/vhdl/std/v87; \ for i in std/v87/textio.vhdl std/v87/textio-body.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 --bootstrap --work=std ../../src/std/v87/textio-body.vhdl ../../src/std/v87/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v87/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v87/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/std_logic_1164.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/std_logic_1164-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_bit.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_bit-body.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_std.vhdl sed -e '/--!V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-!V87/,/--END-!V87/s/^/--/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v87/numeric_std-body.vhdl cp ../../libraries/upf/upf.vhdl lib/ghdl/llvm/vhdl/src/upf/upf.vhdl cp ../../libraries/upf/upf-body.vhdl lib/ghdl/llvm/vhdl/src/upf/upf-body.vhdl cp ../../libraries/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_arith.vhdl cp ../../libraries/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_unsigned.vhdl cp ../../libraries/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_signed.vhdl cp ../../libraries/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc.vhdl cp ../../libraries/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc-body.vhdl cp ../../libraries/synopsys/std_logic_textio.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_textio.vhdl echo dep: lib/ghdl/llvm/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_textio.vhdl dep: lib/ghdl/llvm/vhdl/src/synopsys/std_logic_arith.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_unsigned.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_signed.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_misc-body.vhdl lib/ghdl/llvm/vhdl/src/synopsys/std_logic_textio.vhdl rm -f -f lib/ghdl/llvm/vhdl/ieee/v87/ieee-obj87.cf cd lib/ghdl/llvm/vhdl/ieee/v87; \ for i in ieee/v87/std_logic_1164.vhdl ieee/v87/std_logic_1164-body.vhdl ieee/v87/numeric_bit.vhdl ieee/v87/numeric_bit-body.vhdl ieee/v87/numeric_std.vhdl ieee/v87/numeric_std-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/$i";\ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_bit-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/ieee/v87/numeric_std-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/vhdl/src/std/v93/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/vhdl/src/std/v93/textio-body.vhdl rm -f -rf lib/ghdl/llvm/vhdl/std/v93/std-obj93.cf cd lib/ghdl/llvm/vhdl/std/v93; \ for i in std/v93/textio.vhdl std/v93/textio-body.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 --bootstrap --work=std ../../src/std/v93/textio-body.vhdl ../../src/std/v93/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v93/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v93/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/std_logic_1164.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/std_logic_1164-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/std_logic_1164-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_bit.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_bit-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_bit-body.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_std.vhdl sed -e '/--V87/s/^/ --/' -e '/--V08/s/^/ --/' -e '/--START-V08/,/--END-V08/s/^/--/' < ../../libraries/ieee/numeric_std-body.vhdl > lib/ghdl/llvm/vhdl/src/ieee/v93/numeric_std-body.vhdl cp ../../libraries/ieee/math_real.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_real.vhdl cp ../../libraries/ieee/math_real-body.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_real-body.vhdl cp ../../libraries/ieee/math_complex.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_complex.vhdl cp ../../libraries/ieee/math_complex-body.vhdl lib/ghdl/llvm/vhdl/src/ieee/math_complex-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/ieee/v93/ieee-obj93.cf cd lib/ghdl/llvm/vhdl/ieee/v93; \ for i in ieee/v93/std_logic_1164.vhdl ieee/v93/std_logic_1164-body.vhdl ieee/v93/numeric_bit.vhdl ieee/v93/numeric_bit-body.vhdl ieee/v93/numeric_std.vhdl ieee/v93/numeric_std-body.vhdl ieee/math_real.vhdl ieee/math_real-body.vhdl ieee/math_complex.vhdl ieee/math_complex-body.vhdl upf/upf.vhdl upf/upf-body.vhdl ; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/std_logic_misc.vhdl synopsys/std_logic_misc-body.vhdl synopsys/std_logic_textio.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_bit-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/v93/numeric_std-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_real-body.vhdl ../../src/ieee/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/ieee/math_complex-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_misc-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio.vhdl > lib/ghdl/llvm/vhdl/src/std/v08/textio.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/std/textio-body.vhdl > lib/ghdl/llvm/vhdl/src/std/v08/textio-body.vhdl cp ../../libraries/std/env.vhdl lib/ghdl/llvm/vhdl/src/std/env.vhdl cp ../../libraries/std/env-body.vhdl lib/ghdl/llvm/vhdl/src/std/env-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/std/v08/std-obj08.cf cd lib/ghdl/llvm/vhdl/std/v08; \ for i in std/v08/textio.vhdl std/v08/textio-body.vhdl std/env.vhdl std/env-body.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/v08/textio-body.vhdl ../../src/std/v08/textio-body.vhdl:459:35:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:490:40:warning: declaration of "left" hides enumeration literal left [-Whide] procedure trim_next (l : inout line; left : natural) ^ ../../src/std/v08/textio-body.vhdl:846:14:warning: declaration of "left" hides enumeration literal left [-Whide] variable left : positive; ^ ../../src/std/v08/textio-body.vhdl:848:26:warning: declaration of "right" hides enumeration literal right [-Whide] procedure set_value (right : positive; off : natural) is ^ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 --bootstrap --work=std ../../src/std/env-body.vhdl cp ../../libraries/ieee2008/std_logic_1164.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/std_logic_1164.vhdl cp ../../libraries/ieee2008/std_logic_1164-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/std_logic_1164-body.vhdl cp ../../libraries/ieee2008/std_logic_textio.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/std_logic_textio.vhdl cp ../../libraries/ieee2008/math_real.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_real.vhdl cp ../../libraries/ieee2008/math_real-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_real-body.vhdl cp ../../libraries/ieee2008/math_complex.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_complex.vhdl cp ../../libraries/ieee2008/math_complex-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/math_complex-body.vhdl cp ../../libraries/ieee2008/numeric_bit.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit.vhdl cp ../../libraries/ieee2008/numeric_bit-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit-body.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit_unsigned.vhdl cp ../../libraries/ieee2008/numeric_bit_unsigned-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_bit_unsigned-body.vhdl cp ../../libraries/ieee2008/numeric_std.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std.vhdl cp ../../libraries/ieee2008/numeric_std-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std-body.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std_unsigned.vhdl cp ../../libraries/ieee2008/numeric_std_unsigned-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/numeric_std_unsigned-body.vhdl cp ../../libraries/ieee2008/fixed_float_types.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_float_types.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_generic_pkg.vhdl cp ../../libraries/ieee2008/fixed_generic_pkg-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_generic_pkg-body.vhdl cp ../../libraries/ieee2008/fixed_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/fixed_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/float_generic_pkg.vhdl cp ../../libraries/ieee2008/float_generic_pkg-body.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/float_generic_pkg-body.vhdl cp ../../libraries/ieee2008/float_pkg.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/float_pkg.vhdl cp ../../libraries/ieee2008/ieee_bit_context.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/ieee_bit_context.vhdl cp ../../libraries/ieee2008/ieee_std_context.vhdl lib/ghdl/llvm/vhdl/src/ieee2008/ieee_std_context.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc.vhdl > lib/ghdl/llvm/vhdl/src/synopsys/v08/std_logic_misc.vhdl sed -e '/--V87/s/^/ --/' -e '/--!V08/s/^/ --/' -e '/--START-!V08/,/--END-!V08/s/^/--/' < ../../libraries/synopsys/std_logic_misc-body.vhdl > lib/ghdl/llvm/vhdl/src/synopsys/v08/std_logic_misc-body.vhdl rm -f -f lib/ghdl/llvm/vhdl/ieee/v08/ieee-obj08.cf cd lib/ghdl/llvm/vhdl/ieee/v08; \ for i in ieee2008/std_logic_1164.vhdl ieee2008/std_logic_1164-body.vhdl ieee2008/std_logic_textio.vhdl ieee2008/math_real.vhdl ieee2008/math_real-body.vhdl ieee2008/math_complex.vhdl ieee2008/math_complex-body.vhdl ieee2008/numeric_bit.vhdl ieee2008/numeric_bit-body.vhdl ieee2008/numeric_bit_unsigned.vhdl ieee2008/numeric_bit_unsigned-body.vhdl ieee2008/numeric_std.vhdl ieee2008/numeric_std-body.vhdl ieee2008/numeric_std_unsigned.vhdl ieee2008/numeric_std_unsigned-body.vhdl ieee2008/fixed_float_types.vhdl ieee2008/fixed_generic_pkg.vhdl ieee2008/fixed_generic_pkg-body.vhdl ieee2008/fixed_pkg.vhdl ieee2008/float_generic_pkg.vhdl ieee2008/float_generic_pkg-body.vhdl ieee2008/float_pkg.vhdl ieee2008/ieee_bit_context.vhdl ieee2008/ieee_std_context.vhdl upf/upf.vhdl upf/upf-body.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in ; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -frelaxed-rules ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done; \ for i in synopsys/std_logic_arith.vhdl synopsys/std_logic_unsigned.vhdl synopsys/std_logic_signed.vhdl synopsys/v08/std_logic_misc.vhdl synopsys/v08/std_logic_misc-body.vhdl; do \ cmd=" /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/$i"; \ echo $cmd; eval $cmd || exit 1; \ done /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_1164-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/std_logic_textio.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_real-body.vhdl ../../src/ieee2008/math_real-body.vhdl:830:14:warning: declaration of "REAL_VECTOR" hides type "REAL_VECTOR" [-Whide] type REAL_VECTOR is array (NATURAL range <>) of REAL; ^ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/math_complex-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_bit_unsigned-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/numeric_std_unsigned-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_float_types.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_generic_pkg-body.vhdl ../../src/ieee2008/fixed_generic_pkg-body.vhdl:192:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_ufixed; ^ ../../src/ieee2008/fixed_generic_pkg-body.vhdl:225:25:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNRESOLVED_sfixed; ^ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/fixed_pkg.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_generic_pkg-body.vhdl ../../src/ieee2008/float_generic_pkg-body.vhdl:182:5:warning: declaration of "remainder" hides function "remainder" [-Whide] remainder : UNSIGNED; -- remainder to round from ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:302:14:warning: declaration of "remainder" hides function "remainder" [-Whide] variable remainder : UNSIGNED (2 downto 0); ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:1026:7:warning: declaration of "arg" hides constant interface "arg" [-Whide] arg : UNSIGNED) ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5299:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5378:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+2)/3) * 3; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5448:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ ../../src/ieee2008/float_generic_pkg-body.vhdl:5527:14:warning: declaration of "ne" hides function "ne" [-Whide] constant ne : INTEGER := ((VALUE'length+3)/4) * 4; -- pad ^ /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/float_pkg.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/ieee2008/ieee_std_context.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee ../../src/upf/upf-body.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_arith.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_unsigned.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/std_logic_signed.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc.vhdl /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm -a --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 -P../.. --work=ieee -fsynopsys ../../src/synopsys/v08/std_logic_misc-body.vhdl cp ../../libraries/ieee2008/LICENSE lib/ghdl/llvm/vhdl/src/ieee2008/LICENSE cd lib/ghdl/llvm/vhdl/std/v87; /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=87 cd lib/ghdl/llvm/vhdl/std/v93; /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=93 cd lib/ghdl/llvm/vhdl/std/v08; /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl_llvm --bootstrap-standard --GHDL1=/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdl1-llvm --std=08 make[3]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' gcc-14 -c -g -o vpi_thunk.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong gcc-14 -c -g -o vhpi_thunk.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong mkdir -p lib/ghdl/llvm gcc-14 -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-z,relro -Wl,-z,now -shared -o lib/ghdl/llvm/libghdlvpi.so vpi_thunk.o vhpi_thunk.o gcc-14 -c -fPIC -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o pic/grt-cstdio.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt-cstdio.c gcc-14 -c -fPIC -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -o pic/grt-cdynload.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt-cdynload.c gnatmake -v -j8 -R -eS -I- -aI. -D pic -z libghdl -o lib/libghdl-5_0_1.so -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -bargs -shared -Llibghdl_ -largs -R -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-5_0_1.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "lib/libghdl-5_0_1.so" final executable "libghdl.ali" being checked ... -> "libghdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/libghdl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/libghdl/libghdl.adb "errorout.ali" being checked ... -> "errorout.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/errorout.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/errorout.adb "errorout-memory.ali" being checked ... -> "errorout-memory.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/errorout-memory.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/errorout-memory.adb "files_map.ali" being checked ... -> "files_map.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/files_map.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/files_map.adb "files_map-editor.ali" being checked ... -> "files_map-editor.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/files_map-editor.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/files_map-editor.adb "ghdlcomp.ali" being checked ... -> "ghdlcomp.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/ghdlcomp.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlcomp.adb "ghdllocal.ali" being checked ... -> "ghdllocal.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/ghdllocal.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdllocal.adb "options.ali" being checked ... -> "options.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/options.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/options.adb "vhdl.ali" being checked ... -> "vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl.ads "vhdl-formatters.ali" being checked ... -> "vhdl-formatters.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-formatters.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-formatters.adb "ghdlsynth_maybe.ali" being checked ... -> "ghdlsynth_maybe.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/ghdlsynth_maybe.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/ghdlsynth_maybe.ads "types.ali" being checked ... -> "types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/types.ads "vhdl-nodes.ali" being checked ... -> "vhdl-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-nodes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes.adb "dyn_tables.ali" being checked ... -> "dyn_tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/dyn_tables.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/dyn_tables.adb "tables.ali" being checked ... -> "tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/tables.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/tables.adb "ghdlsynth.ali" being checked ... -> "ghdlsynth.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/ghdlsynth.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlsynth.adb "elab.ali" being checked ... -> "elab.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab.ads "elab-vhdl_objtypes.ali" being checked ... -> "elab-vhdl_objtypes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_objtypes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_objtypes.adb "file_comments.ali" being checked ... -> "file_comments.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/file_comments.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/file_comments.adb "flags.ali" being checked ... -> "flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/flags.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/flags.adb "libraries.ali" being checked ... -> "libraries.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/libraries.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/libraries.adb "name_table.ali" being checked ... -> "name_table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/name_table.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/name_table.adb "outputs.ali" being checked ... -> "outputs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/outputs.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/outputs.adb "psl.ali" being checked ... -> "psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl.ads "psl-dump_tree.ali" being checked ... -> "psl-dump_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-dump_tree.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-dump_tree.adb "psl-nodes.ali" being checked ... -> "psl-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-nodes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nodes.adb "simple_io.ali" being checked ... -> "simple_io.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/simple_io.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/simple_io.adb "std_names.ali" being checked ... -> "std_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/std_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/std_names.adb "str_table.ali" being checked ... -> "str_table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/str_table.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/str_table.adb "vhdl-back_end.ali" being checked ... -> "vhdl-back_end.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-back_end.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-back_end.adb "vhdl-disp_tree.ali" being checked ... -> "vhdl-disp_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-disp_tree.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-disp_tree.adb "vhdl-errors.ali" being checked ... -> "vhdl-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-errors.adb "vhdl-lists.ali" being checked ... -> "vhdl-lists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-lists.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-lists.ads "vhdl-parse.ali" being checked ... -> "vhdl-parse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-parse.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-parse.adb "vhdl-scanner.ali" being checked ... -> "vhdl-scanner.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-scanner.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-scanner.adb "logging.ali" being checked ... -> "logging.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/logging.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/logging.adb "grt.ali" being checked ... -> "grt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt.ads "grt-vstrings.ali" being checked ... -> "grt-vstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-vstrings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vstrings.adb "utils_io.ali" being checked ... -> "utils_io.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/utils_io.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/utils_io.adb "vhdl-prints.ali" being checked ... -> "vhdl-prints.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-prints.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-prints.adb "vhdl-tokens.ali" being checked ... -> "vhdl-tokens.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-tokens.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-tokens.adb "ghdlmain.ali" being checked ... -> "ghdlmain.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/ghdlmain.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlmain.adb "vhdl-configuration.ali" being checked ... -> "vhdl-configuration.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-configuration.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-configuration.adb "vhdl-sem.ali" being checked ... -> "vhdl-sem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem.adb "vhdl-sem_lib.ali" being checked ... -> "vhdl-sem_lib.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_lib.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_lib.adb "vhdl-std_package.ali" being checked ... -> "vhdl-std_package.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-std_package.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-std_package.adb "vhdl-utils.ali" being checked ... -> "vhdl-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-utils.adb "bug.ali" being checked ... -> "bug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/bug.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/bug.adb "elab-debugger.ali" being checked ... -> "elab-debugger.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-debugger.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-debugger.adb "elab-vhdl_annotations.ali" being checked ... -> "elab-vhdl_annotations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_annotations.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_annotations.adb "elab-vhdl_context.ali" being checked ... -> "elab-vhdl_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_context.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_context.adb "elab-vhdl_errors.ali" being checked ... -> "elab-vhdl_errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_errors.adb "elab-vhdl_insts.ali" being checked ... -> "elab-vhdl_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_insts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_insts.adb "errorout-console.ali" being checked ... -> "errorout-console.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/errorout-console.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/errorout-console.adb "ghdlverilog.ali" being checked ... -> "ghdlverilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/ghdlverilog.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/ghdldrv/ghdlverilog.adb "netlists.ali" being checked ... -> "netlists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists.adb "netlists-disp_dot.ali" being checked ... -> "netlists-disp_dot.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-disp_dot.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-disp_dot.adb "netlists-disp_verilog.ali" being checked ... -> "netlists-disp_verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-disp_verilog.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-disp_verilog.adb "netlists-disp_vhdl.ali" being checked ... -> "netlists-disp_vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-disp_vhdl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-disp_vhdl.adb "netlists-dump.ali" being checked ... -> "netlists-dump.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-dump.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-dump.adb "netlists-errors.ali" being checked ... -> "netlists-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-errors.adb "netlists-inference.ali" being checked ... -> "netlists-inference.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-inference.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-inference.adb "netlists-rename.ali" being checked ... -> "netlists-rename.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-rename.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-rename.adb "synth.ali" being checked ... -> "synth.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth.ads "synth-context.ali" being checked ... -> "synth-context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-context.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-context.ads "synth-disp_vhdl.ali" being checked ... -> "synth-disp_vhdl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-disp_vhdl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-disp_vhdl.adb "synth-flags.ali" being checked ... -> "synth-flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-flags.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-flags.ads "synth-vhdl_context.ali" being checked ... -> "synth-vhdl_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_context.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_context.adb "synth-vhdl_foreign.ali" being checked ... -> "synth-vhdl_foreign.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_foreign.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_foreign.adb "synthesis.ali" being checked ... -> "synthesis.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synthesis.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synthesis.adb "vhdl-canon.ali" being checked ... -> "vhdl-canon.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-canon.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-canon.adb "grt-types.ali" being checked ... -> "grt-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-types.ads "grt-c.ali" being checked ... -> "grt-c.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-c.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-c.ads "grt-stdio.ali" being checked ... -> "grt-stdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-stdio.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-stdio.ads "grt-algos.ali" being checked ... -> "grt-algos.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-algos.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-algos.adb "filesystem.ali" being checked ... -> "filesystem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/filesystem.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/filesystem.adb "vhdl-types.ali" being checked ... -> "vhdl-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-types.ads "psl-errors.ali" being checked ... -> "psl-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-errors.adb "psl-nodes_meta.ali" being checked ... -> "psl-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-nodes_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nodes_meta.adb "psl-types.ali" being checked ... -> "psl-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-types.ads "mutils.ali" being checked ... -> "mutils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/mutils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/mutils.adb "types_utils.ali" being checked ... -> "types_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/types_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/types_utils.adb "areapools.ali" being checked ... -> "areapools.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/areapools.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/areapools.adb "elab-memtype.ali" being checked ... -> "elab-memtype.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-memtype.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-memtype.adb "vhdl-sem_specs.ali" being checked ... -> "vhdl-sem_specs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_specs.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_specs.adb "psl-hash.ali" being checked ... -> "psl-hash.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-hash.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-hash.adb "default_paths.ali" being checked ... -> "default_paths.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/default_paths.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/default_paths.ads "version.ali" being checked ... -> "version.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/version.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/version.ads "lists.ali" being checked ... -> "lists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/lists.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/lists.adb "vhdl-nodes_meta.ali" being checked ... -> "vhdl-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-nodes_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_meta.adb "vhdl-nodes_gc.ali" being checked ... -> "vhdl-nodes_gc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-nodes_gc.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_gc.adb "vhdl-post_sems.ali" being checked ... -> "vhdl-post_sems.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-post_sems.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-post_sems.adb "vhdl-evaluation.ali" being checked ... -> "vhdl-evaluation.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-evaluation.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-evaluation.adb "vhdl-nodes_walk.ali" being checked ... -> "vhdl-nodes_walk.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-nodes_walk.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_walk.adb "vhdl-sem_scopes.ali" being checked ... -> "vhdl-sem_scopes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_scopes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_scopes.adb "grt-fcvt.ali" being checked ... -> "grt-fcvt.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-fcvt.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-fcvt.adb "vhdl-elocations.ali" being checked ... -> "vhdl-elocations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-elocations.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-elocations.adb "vhdl-ieee.ali" being checked ... -> "vhdl-ieee.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-ieee.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee.adb "vhdl-ieee-std_logic_1164.ali" being checked ... -> "vhdl-ieee-std_logic_1164.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_1164.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_1164.adb "vhdl-nodes_utils.ali" being checked ... -> "vhdl-nodes_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-nodes_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_utils.adb "vhdl-sem_assocs.ali" being checked ... -> "vhdl-sem_assocs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_assocs.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_assocs.adb "vhdl-sem_decls.ali" being checked ... -> "vhdl-sem_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_decls.adb "vhdl-sem_expr.ali" being checked ... -> "vhdl-sem_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_expr.adb "vhdl-sem_inst.ali" being checked ... -> "vhdl-sem_inst.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_inst.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_inst.adb "vhdl-sem_names.ali" being checked ... -> "vhdl-sem_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_names.adb "vhdl-sem_psl.ali" being checked ... -> "vhdl-sem_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_psl.adb "vhdl-sem_stmts.ali" being checked ... -> "vhdl-sem_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_stmts.adb "vhdl-sem_types.ali" being checked ... -> "vhdl-sem_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_types.adb "vhdl-sem_utils.ali" being checked ... -> "vhdl-sem_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sem_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sem_utils.adb "vhdl-xrefs.ali" being checked ... -> "vhdl-xrefs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-xrefs.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-xrefs.adb "debuggers.ali" being checked ... -> "debuggers.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/debuggers.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/debuggers.adb "elab-vhdl_context-debug.ali" being checked ... -> "elab-vhdl_context-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_context-debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_context-debug.adb "elab-vhdl_debug.ali" being checked ... -> "elab-vhdl_debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_debug.adb "synth-verilog_insts.ali" being checked ... -> "synth-verilog_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-verilog_insts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_insts.adb "synth-vhdl_insts.ali" being checked ... -> "synth-vhdl_insts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_insts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_insts.adb "verilog.ali" being checked ... -> "verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog.ads "verilog-elaborate.ali" being checked ... -> "verilog-elaborate.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-elaborate.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-elaborate.adb "verilog-errors.ali" being checked ... -> "verilog-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-errors.adb "verilog-find_top.ali" being checked ... -> "verilog-find_top.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-find_top.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-find_top.adb "verilog-flags.ali" being checked ... -> "verilog-flags.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-flags.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-flags.ads "verilog-nodes.ali" being checked ... -> "verilog-nodes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-nodes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-nodes.adb "verilog-nutils.ali" being checked ... -> "verilog-nutils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-nutils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-nutils.adb "verilog-parse.ali" being checked ... -> "verilog-parse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-parse.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-parse.adb "verilog-scans.ali" being checked ... -> "verilog-scans.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-scans.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-scans.adb "verilog-sem.ali" being checked ... -> "verilog-sem.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem.adb "verilog-sem_instances.ali" being checked ... -> "verilog-sem_instances.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem_instances.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_instances.adb "verilog-sem_scopes.ali" being checked ... -> "verilog-sem_scopes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem_scopes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_scopes.adb "verilog-sem_types.ali" being checked ... -> "verilog-sem_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_types.adb "verilog-vhdl_export.ali" being checked ... -> "verilog-vhdl_export.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-vhdl_export.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-vhdl_export.adb "verilog-vpi.ali" being checked ... -> "verilog-vpi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-vpi.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-vpi.adb "netlists-iterators.ali" being checked ... -> "netlists-iterators.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-iterators.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-iterators.adb verilog-vpi.adb:47:04: warning: possible aliasing problem for type "Argv_Type" [enabled by default] verilog-vpi.adb:47:04: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:47:04: warning: or use "pragma No_Strict_Aliasing (Argv_Type);" [enabled by default] verilog-vpi.adb:618:07: warning: possible aliasing problem for type "P_Vpi_Vecval" [enabled by default] verilog-vpi.adb:618:07: warning: use -fno-strict-aliasing switch for references [enabled by default] verilog-vpi.adb:618:07: warning: or use "pragma No_Strict_Aliasing (P_Vpi_Vecval);" [enabled by default] "netlists-utils.ali" being checked ... -> "netlists-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-utils.adb "elab-vhdl_utils.ali" being checked ... -> "elab-vhdl_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_utils.adb "elab-vhdl_values.ali" being checked ... -> "elab-vhdl_values.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_values.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_values.adb "elab-vhdl_decls.ali" being checked ... -> "elab-vhdl_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_decls.adb "elab-vhdl_expr.ali" being checked ... -> "elab-vhdl_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_expr.adb "elab-vhdl_files.ali" being checked ... -> "elab-vhdl_files.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_files.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_files.adb "elab-vhdl_stmts.ali" being checked ... -> "elab-vhdl_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_stmts.adb "elab-vhdl_types.ali" being checked ... -> "elab-vhdl_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_types.adb "synth-vhdl_expr.ali" being checked ... -> "synth-vhdl_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_expr.adb "synth-vhdl_stmts.ali" being checked ... -> "synth-vhdl_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_stmts.adb "netlists-locations.ali" being checked ... -> "netlists-locations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-locations.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-locations.adb "netlists-gates.ali" being checked ... -> "netlists-gates.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-gates.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-gates.ads "netlists-builders.ali" being checked ... -> "netlists-builders.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-builders.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-builders.adb "grt-severity.ali" being checked ... -> "grt-severity.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-severity.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-severity.ads "netlists-folds.ali" being checked ... -> "netlists-folds.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-folds.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-folds.adb "netlists-gates_ports.ali" being checked ... -> "netlists-gates_ports.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-gates_ports.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-gates_ports.adb "netlists-internings.ali" being checked ... -> "netlists-internings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-internings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-internings.adb "netlists-memories.ali" being checked ... -> "netlists-memories.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-memories.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-memories.adb "synth-errors.ali" being checked ... -> "synth-errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-errors.adb "dyn_maps.ali" being checked ... -> "dyn_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/dyn_maps.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/dyn_maps.adb "hash.ali" being checked ... -> "hash.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/hash.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/hash.adb "elab-vhdl_values-debug.ali" being checked ... -> "elab-vhdl_values-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_values-debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_values-debug.adb "netlists-cleanup.ali" being checked ... -> "netlists-cleanup.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-cleanup.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-cleanup.adb "netlists-expands.ali" being checked ... -> "netlists-expands.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-expands.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-expands.adb "synth-vhdl_environment.ali" being checked ... -> "synth-vhdl_environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_environment.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_environment.adb "dyn_interning.ali" being checked ... -> "dyn_interning.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/dyn_interning.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/dyn_interning.adb "grt-dynload.ali" being checked ... -> "grt-dynload.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-dynload.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-dynload.ads "interning.ali" being checked ... -> "interning.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/interning.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/interning.adb "psl-nfas.ali" being checked ... -> "psl-nfas.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-nfas.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nfas.adb "psl-prints.ali" being checked ... -> "psl-prints.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-prints.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-prints.adb "psl-priorities.ali" being checked ... -> "psl-priorities.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-priorities.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-priorities.ads "vhdl-nodes_priv.ali" being checked ... -> "vhdl-nodes_priv.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-nodes_priv.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-nodes_priv.ads "psl-nodes_priv.ali" being checked ... -> "psl-nodes_priv.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-nodes_priv.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nodes_priv.ads "vhdl-comments.ali" being checked ... -> "vhdl-comments.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-comments.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-comments.adb "vhdl-parse_psl.ali" being checked ... -> "vhdl-parse_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-parse_psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-parse_psl.adb "vhdl-ieee-math_real.ali" being checked ... -> "vhdl-ieee-math_real.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-ieee-math_real.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-math_real.adb "vhdl-ieee-numeric.ali" being checked ... -> "vhdl-ieee-numeric.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-ieee-numeric.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-numeric.adb "vhdl-ieee-numeric_std_unsigned.ali" being checked ... -> "vhdl-ieee-numeric_std_unsigned.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-ieee-numeric_std_unsigned.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-numeric_std_unsigned.adb "vhdl-ieee-std_logic_arith.ali" being checked ... -> "vhdl-ieee-std_logic_arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_arith.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_arith.adb "vhdl-ieee-std_logic_misc.ali" being checked ... -> "vhdl-ieee-std_logic_misc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_misc.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_misc.adb "vhdl-ieee-std_logic_unsigned.ali" being checked ... -> "vhdl-ieee-std_logic_unsigned.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-ieee-std_logic_unsigned.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-std_logic_unsigned.adb "vhdl-ieee-vital_timing.ali" being checked ... -> "vhdl-ieee-vital_timing.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-ieee-vital_timing.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-ieee-vital_timing.adb "vhdl-std_env.ali" being checked ... -> "vhdl-std_env.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-std_env.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-std_env.adb "psl-build.ali" being checked ... -> "psl-build.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-build.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-build.adb "psl-nfas-utils.ali" being checked ... -> "psl-nfas-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-nfas-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-nfas-utils.adb "psl-rewrites.ali" being checked ... -> "psl-rewrites.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-rewrites.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-rewrites.adb "vhdl-canon_psl.ali" being checked ... -> "vhdl-canon_psl.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-canon_psl.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-canon_psl.adb "vhdl-elocations_meta.ali" being checked ... -> "vhdl-elocations_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-elocations_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-elocations_meta.adb "vhdl-flists.ali" being checked ... -> "vhdl-flists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-flists.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-flists.ads "psl-subsets.ali" being checked ... -> "psl-subsets.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-subsets.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-subsets.adb "grt-to_strings.ali" being checked ... -> "grt-to_strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-to_strings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-to_strings.adb "grt-vhdl_types.ali" being checked ... -> "grt-vhdl_types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-vhdl_types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-vhdl_types.ads "synth-vhdl_eval.ali" being checked ... -> "synth-vhdl_eval.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_eval.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_eval.adb "grt-readline.ali" being checked ... -> "grt-readline.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-readline.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/grt-readline.ads "vhdl-sensitivity_checks.ali" being checked ... -> "vhdl-sensitivity_checks.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/vhdl-sensitivity_checks.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/vhdl/vhdl-sensitivity_checks.adb "verilog-tokens.ali" being checked ... -> "verilog-tokens.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-tokens.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-tokens.adb "elab-vhdl_heap.ali" being checked ... -> "elab-vhdl_heap.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_heap.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_heap.adb "grt-astdio.ali" being checked ... -> "grt-astdio.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-astdio.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-astdio.adb "verilog-nodes_meta.ali" being checked ... -> "verilog-nodes_meta.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-nodes_meta.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-nodes_meta.adb "synth-verilog_context.ali" being checked ... -> "synth-verilog_context.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-verilog_context.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_context.adb "synth-verilog_elaboration.ali" being checked ... -> "synth-verilog_elaboration.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-verilog_elaboration.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_elaboration.adb "synth-verilog_environment.ali" being checked ... -> "synth-verilog_environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-verilog_environment.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_environment.adb "synth-verilog_exprs.ali" being checked ... -> "synth-verilog_exprs.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-verilog_exprs.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_exprs.adb "synth-verilog_sources.ali" being checked ... -> "synth-verilog_sources.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-verilog_sources.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_sources.adb "synth-verilog_stmts.ali" being checked ... -> "synth-verilog_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-verilog_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_stmts.adb "synth-verilog_values.ali" being checked ... -> "synth-verilog_values.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-verilog_values.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_values.adb "verilog-allocates.ali" being checked ... -> "verilog-allocates.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-allocates.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-allocates.adb "verilog-bignums.ali" being checked ... -> "verilog-bignums.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-bignums.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-bignums.adb "verilog-disp_verilog.ali" being checked ... -> "verilog-disp_verilog.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-disp_verilog.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-disp_verilog.adb "verilog-sem_names.ali" being checked ... -> "verilog-sem_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_names.adb "verilog-storages.ali" being checked ... -> "verilog-storages.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-storages.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-storages.adb "verilog-resolve_names.ali" being checked ... -> "verilog-resolve_names.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-resolve_names.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-resolve_names.adb "verilog-sem_decls.ali" being checked ... -> "verilog-sem_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_decls.adb "verilog-sem_eval.ali" being checked ... -> "verilog-sem_eval.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem_eval.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_eval.adb "verilog-sem_expr.ali" being checked ... -> "verilog-sem_expr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem_expr.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_expr.adb "verilog-sem_stmts.ali" being checked ... -> "verilog-sem_stmts.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem_stmts.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_stmts.adb "verilog-sem_upwards.ali" being checked ... -> "verilog-sem_upwards.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem_upwards.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_upwards.adb "verilog-sem_utils.ali" being checked ... -> "verilog-sem_utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sem_utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sem_utils.adb "verilog-standard.ali" being checked ... -> "verilog-standard.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-standard.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-standard.ads "verilog-types.ali" being checked ... -> "verilog-types.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-types.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-types.ads "name_maps.ali" being checked ... -> "name_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/name_maps.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/name_maps.adb "netlists-concats.ali" being checked ... -> "netlists-concats.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-concats.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-concats.adb "synth-source.ali" being checked ... -> "synth-source.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-source.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-source.adb "synth-vhdl_decls.ali" being checked ... -> "synth-vhdl_decls.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_decls.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_decls.adb "synth-vhdl_oper.ali" being checked ... -> "synth-vhdl_oper.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_oper.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_oper.adb "grt-files.ali" being checked ... -> "grt-files.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-files.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-files.adb "grt-rstrings.ali" being checked ... -> "grt-rstrings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-rstrings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-rstrings.adb "grt-strings.ali" being checked ... -> "grt-strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-strings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-strings.adb "grt-files_operations.ali" being checked ... -> "grt-files_operations.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-files_operations.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-files_operations.adb "verilog-executions.ali" being checked ... -> "verilog-executions.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-executions.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-executions.adb "verilog-simulation.ali" being checked ... -> "verilog-simulation.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-simulation.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-simulation.adb "verilog-sv_strings.ali" being checked ... -> "verilog-sv_strings.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sv_strings.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_strings.adb "verilog-bn_tables.ali" being checked ... -> "verilog-bn_tables.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-bn_tables.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-bn_tables.ads "verilog-macros.ali" being checked ... -> "verilog-macros.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-macros.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-macros.ads "synth-vhdl_aggr.ali" being checked ... -> "synth-vhdl_aggr.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_aggr.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_aggr.adb "netlists-butils.ali" being checked ... -> "netlists-butils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/netlists-butils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/netlists-butils.adb "psl-cse.ali" being checked ... -> "psl-cse.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-cse.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-cse.adb "flists.ali" being checked ... -> "flists.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/flists.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/flists.adb "grt-readline_none.ali" being checked ... -> "grt-readline_none.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-readline_none.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-readline_none.adb "elab-vhdl_prot.ali" being checked ... -> "elab-vhdl_prot.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/elab-vhdl_prot.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/elab-vhdl_prot.adb "synth-vhdl_static_proc.ali" being checked ... -> "synth-vhdl_static_proc.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-vhdl_static_proc.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-vhdl_static_proc.adb "psl-disp_nfas.ali" being checked ... -> "psl-disp_nfas.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-disp_nfas.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-disp_nfas.adb "psl-optimize.ali" being checked ... -> "psl-optimize.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-optimize.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-optimize.adb "psl-qm.ali" being checked ... -> "psl-qm.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/psl-qm.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/psl/psl-qm.adb "synth-environment.ali" being checked ... -> "synth-environment.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-environment.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-environment.adb "synth-environment-debug.ali" being checked ... -> "synth-environment-debug.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-environment-debug.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-environment-debug.adb "synth-verilog_errors.ali" being checked ... -> "synth-verilog_errors.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-verilog_errors.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-verilog_errors.adb "verilog-abi.ali" being checked ... -> "verilog-abi.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-abi.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-abi.ads "verilog-sv_arrays.ali" being checked ... -> "verilog-sv_arrays.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sv_arrays.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_arrays.adb "verilog-sv_classes.ali" being checked ... -> "verilog-sv_classes.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sv_classes.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_classes.ads "verilog-sv_maps.ali" being checked ... -> "verilog-sv_maps.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sv_maps.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_maps.adb "verilog-sv_queues.ali" being checked ... -> "verilog-sv_queues.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-sv_queues.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-sv_queues.adb "grt-arith.ali" being checked ... -> "grt-arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-arith.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-arith.adb "synth-ieee.ali" being checked ... -> "synth-ieee.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-ieee.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee.ads "synth-ieee-numeric_std.ali" being checked ... -> "synth-ieee-numeric_std.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-ieee-numeric_std.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-numeric_std.adb "synth-ieee-std_logic_1164.ali" being checked ... -> "synth-ieee-std_logic_1164.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-ieee-std_logic_1164.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-std_logic_1164.adb "synth-ieee-std_logic_arith.ali" being checked ... -> "synth-ieee-std_logic_arith.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-ieee-std_logic_arith.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-std_logic_arith.adb "grt-table.ali" being checked ... -> "grt-table.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/grt-table.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/grt/grt-table.adb "verilog-disp_tree.ali" being checked ... -> "verilog-disp_tree.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-disp_tree.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-disp_tree.adb "synth-ieee-utils.ali" being checked ... -> "synth-ieee-utils.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/synth-ieee-utils.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/synth/synth-ieee-utils.adb "verilog-debugger.ali" being checked ... -> "verilog-debugger.ali" missing. powerpc64le-linux-gnu-gcc-14 -c -I. -gnat12 -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata -fPIC -I../../src -I../../src/vhdl -I../../src/verilog -I../../src/synth -I../../src/grt -I../../src/psl -I../../src/vhdl/translate -I../../src/synth -I../../src/vhdl/libghdl -I../../src/ghdldrv -I- -o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/verilog-debugger.o /build/reproducible-path/ghdl-5.0.1+dfsg/src/verilog/verilog-debugger.adb End of compilation powerpc64le-linux-gnu-gnatbind-14 -aI. -aO/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/synth -aI../../src/vhdl/libghdl -aI../../src/ghdldrv -shared -Llibghdl_ -z -x /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/libghdl.ali powerpc64le-linux-gnu-gnatlink-14 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/pic/libghdl.ali -shared-libgcc -o lib/libghdl-5_0_1.so -g -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -fPIC -R -g -shared -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdl-5_0_1.so -Wl,-z,relro -Wl,-z,now pic/grt-cstdio.o pic/grt-cdynload.o -R sed -e '1,/-- BEGIN/d' -e '/-- END/,$d' -e 's/^ -- //' < b~libghdl.adb > libghdl.bind rm -f -f libghdl.a ar rc libghdl.a b~libghdl.o pic/grt-cstdio.o pic/grt-cdynload.o `sed -e /^-/d < libghdl.bind` grep adalib libghdl.bind | sed -e 's/^-L//' -e 's@adalib/@adalib/libgnat.a@' > libghdl.link gcc-14 -c -o ghwdump.o ../../ghw/ghwdump.c -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong gcc-14 -fPIC -c -o libghw.o ../../ghw/libghw.c -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong gcc-14 -Wl,-z,relro -Wl,-z,now -o ghwdump ghwdump.o libghw.o gcc-14 -fPIC -Wl,-z,relro -Wl,-z,now -shared -o lib/libghw.so libghw.o make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' touch /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/stamps/build-llvm dh override_dh_auto_build make[1]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg' debian/rules override_dh_auto_test make[1]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg' dh_testdir ------------------------------------------------------------ Run testsuite for gcc backend ------------------------------------------------------------ # With some paths patched for the Debian packaging, the tests will not # work right when run from the build directory. To keep it simple # install into a temporary location and tell the testsuite to find ghdl # there. mkdir -p /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/bin /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl mkdir -p /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/libexec # Place a symlink for the binary ahead of time so that the compilation # of the VHDL standard libraries works during the gcc install step. The # binary is properly moved afterwards (so that it can find its # libraries as relative paths from the executable's location). ln -sf ../lib/ghdl/gcc/bin/ghdl-gcc /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/bin/ghdl-gcc /usr/bin/make -C /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build install DESTDIR=/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' /bin/bash ../src/mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/fixincludes' rm -rf /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include /usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \ /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include/README /usr/bin/install -c fixinc.sh /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools/fixinc.sh /usr/bin/install -c fixincl /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools/fixincl /usr/bin/install -c mkheaders /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools/mkheaders make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' /usr/bin/mkdir -p '/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14' /bin/bash ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14' libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14' make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc' gnatmake -v -j8 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j8 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl1" final executable "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j8 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14 /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14 /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/include mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/include /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1 /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7 /usr/bin/install -c lto-dump \ /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-lto-dump-14 mkdir /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin mkdir: cannot create directory ‘/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:175: vhdl.install-common] Error 1 (ignored) rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc /usr/bin/install -c ghdl /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc mkdir /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib mkdir: cannot create directory ‘/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:178: vhdl.install-common] Error 1 (ignored) mkdir /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl /usr/bin/install -c -m 644 ../libbacktrace/.libs/libbacktrace.a /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl for file in gnat1 cc1 cc1plus d21 f951 go1 lto1 cc1gm2 cc1obj cc1objplus crab1 ghdl1; do \ if [ -f $file ] ; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/$file; \ /usr/bin/install -c $file /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/$file; \ else true; \ fi; \ done for file in collect2 ..; do \ if [ x"$file" != x.. ]; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/$file; \ /usr/bin/install -c $file /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/$file; \ else true; fi; \ done rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/specs if test "" != "yes" ; then \ if [ -f gcov ]; \ then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-14; \ /usr/bin/install -c gcov /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-14; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-tool ]; \ then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-tool-14; \ /usr/bin/install -c \ gcov-tool /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-tool-14; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-dump ]; \ then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-dump-14; \ /usr/bin/install -c \ gcov-dump /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-dump-14; \ fi; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include rm -rf /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed mkdir /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed chmod a+rx /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed (cd `${PWDCMD-pwd}`/include ; \ tar -cf - .; exit 0) | (cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include; tar xpf - ) (cd `${PWDCMD-pwd}`/include-fixed ; \ tar -cf - .; exit 0) | (cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed; tar xpf - ) files=`cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed; find . -type l -print 2>/dev/null`; \ if [ $? -eq 0 ]; then \ dir=`cd include-fixed; ${PWDCMD-pwd}`; \ for i in $files; do \ dest=`ls -ld /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed/$i | sed -n 's/.*-> //p'`; \ if expr "$dest" : "$dir.*" > /dev/null; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed/$i; \ ln -s `echo $i | sed "s|/[^/]*|/..|g" | sed 's|/..$||'``echo "$dest" | sed "s|$dir||"` /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed/$i; \ fi; \ done; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \ /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/gsyslimits.h /usr/bin/install -c -m 644 macro_list /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/macro_list /usr/bin/install -c -m 644 fixinc_list /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/fixinc_list set -e; for ml in `cat fixinc_list`; do \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include${multi_dir}; \ /usr/bin/install -c -m 644 include${multi_dir}/limits.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include${multi_dir}/limits.h; \ done /usr/bin/install -c ../../src/gcc/../mkinstalldirs \ /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools/mkinstalldirs ; \ sysroot_headers_suffix='${sysroot_headers_suffix}'; \ echo 'SYSTEM_HEADER_DIR="'"`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`"'"' \ > /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/mkheaders.conf echo 'OTHER_FIXINCLUDES_DIRS=""' \ >> /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/mkheaders.conf echo 'STMP_FIXINC="stmp-fixinc"' \ >> /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/mkheaders.conf if test "" != "yes" ; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-cpp-14; \ /usr/bin/install -c -m 755 cpp /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-cpp-14; \ if [ x != x ]; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc//powerpc64le-linux-gnu-cpp-14; \ /usr/bin/install -c -m 755 cpp /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc//powerpc64le-linux-gnu-cpp-14; \ else true; fi; \ fi rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 /usr/bin/install -c -m 644 ../../src/gcc/vhdl/ghdl.1 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcc-14.1 /usr/bin/install -c -m 644 doc/gcc.1 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcc-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcc-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-cpp-14.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/cpp.1 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-cpp-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-cpp-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-14.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov.1 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-tool-14.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-tool.1 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-tool-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-tool-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-dump-14.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-dump.1 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-dump-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-dump-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-lto-dump-14.1 /usr/bin/install -c -m 644 doc/lto-dump.1 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-lto-dump-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-lto-dump-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/fsf-funding.7 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gfdl.7 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gpl.7 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/man/man7/gpl.7 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info if [ -f doc/ghdl.info ]; then \ for f in doc/ghdl.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/ghdl.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info if [ -f doc/cpp.info ]; then \ for f in doc/cpp.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cpp.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info if [ -f doc/gcc.info ]; then \ for f in doc/gcc.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gcc.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info if [ -f doc/cppinternals.info ]; then \ for f in doc/cppinternals.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/cppinternals.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info if [ -f doc/gccinstall.info ]; then \ for f in doc/gccinstall.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccinstall.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info if [ -f doc/gccint.info ]; then \ for f in doc/gccint.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/info/gccint.info; \ else true; fi; \ else true; fi; /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/gcc/$cat ]; then cat=../../src/gcc/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc$dir; \ /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc$dir || exit 1; \ echo /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc$dir/gcc-14.mo; \ /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc$dir/gcc-14.mo; \ done /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES /usr/bin/install -c -m 644 po/ka.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-14.mo rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcc-14 /usr/bin/install -c xgcc /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcc-14 if test "" != "yes" ; then \ if [ "powerpc64le-linux-gnu-gcc-14" != "powerpc64le-linux-gnu-gcc-14" ]; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcc-14; \ ( cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln powerpc64le-linux-gnu-gcc-14 powerpc64le-linux-gnu-gcc-14 ); \ fi; \ if [ ! -f gcc-cross ] \ && [ "powerpc64le-linux-gnu-gcc-14" != "powerpc64le-linux-gnu-powerpc64le-linux-gnu-gcc-14" ]; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcc-tmp; \ ( cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln powerpc64le-linux-gnu-gcc-14 powerpc64le-linux-gnu-gcc-tmp && \ mv -f powerpc64le-linux-gnu-gcc-tmp powerpc64le-linux-gnu-powerpc64le-linux-gnu-gcc-14 ); \ fi; \ fi /usr/bin/install -c lto-wrapper /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/lto-wrapper if test "" != "yes" ; then \ for i in gcc-ar gcc-nm gcc-ranlib; do \ install_name=`echo $i|sed 's&$&-14&;s&^&powerpc64le-linux-gnu-&'` ;\ target_install_name=powerpc64le-linux-gnu-`echo $i|sed 's&$&-14&;s&^&powerpc64le-linux-gnu-&'` ; \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/$install_name ; \ /usr/bin/install -c $i /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/$install_name ;\ if test -f gcc-cross; then \ :; \ else \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/$target_install_name; \ ( cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin && \ ln $install_name $target_install_name ) ; \ fi ; \ done; \ fi rm -f tmp-header-vars echo USER_H=float.h iso646.h stdarg.h stdbool.h stddef.h varargs.h stdfix.h stdnoreturn.h stdalign.h stdatomic.h stdckdint.h config/rs6000/ppc-asm.h altivec.h htmintrin.h htmxlintrin.h bmi2intrin.h bmiintrin.h xmmintrin.h mm_malloc.h emmintrin.h mmintrin.h x86intrin.h pmmintrin.h tmmintrin.h smmintrin.h nmmintrin.h immintrin.h x86gprintrin.h ppu_intrinsics.h spu2vmx.h vec_types.h si2vmx.h amo.h rs6000-vecdefines.h >> tmp-header-vars; echo T_GLIMITS_H=glimits.h >> tmp-header-vars; echo T_STDINT_GCC_H=stdint-gcc.h >> tmp-header-vars; echo HASHTAB_H=hashtab.h >> tmp-header-vars; echo OBSTACK_H=obstack.h >> tmp-header-vars; echo SPLAY_TREE_H=splay-tree.h >> tmp-header-vars; echo MD5_H=md5.h >> tmp-header-vars; echo XREGEX_H=xregex.h >> tmp-header-vars; echo FNMATCH_H=fnmatch.h >> tmp-header-vars; echo LINKER_PLUGIN_API_H=plugin-api.h >> tmp-header-vars; echo BCONFIG_H=bconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo CONFIG_H=config.h auto-host.h ansidecl.h >> tmp-header-vars; echo TCONFIG_H=tconfig.h auto-host.h ansidecl.h >> tmp-header-vars; echo TM_P_H=tm_p.h config/rs6000/rs6000-protos.h linux-protos.h tm-preds.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo TM_D_H=tm_d.h config/rs6000/rs6000-d.h >> tmp-header-vars; echo TM_RUST_H=tm_rust.h >> tmp-header-vars; echo GTM_H=tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h >> tmp-header-vars; echo TM_H=tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h >> tmp-header-vars; echo DUMPFILE_H=line-map.h dumpfile.h >> tmp-header-vars; echo VEC_H=vec.h statistics.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo HASH_TABLE_H=hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo EXCEPT_H=except.h hashtab.h >> tmp-header-vars; echo TARGET_H=tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h >> tmp-header-vars; echo C_TARGET_H=c-family/c-target.h c-family/c-target.def target-hooks-macros.h >> tmp-header-vars; echo COMMON_TARGET_H=common/common-target.h line-map.h input.h common/common-target.def target-hooks-macros.h >> tmp-header-vars; echo D_TARGET_H=d/d-target.h d/d-target.def target-hooks-macros.h >> tmp-header-vars; echo RUST_TARGET_H=rust/rust-target.h rust/rust-target.def target-hooks-macros.h >> tmp-header-vars; echo MACHMODE_H=machmode.h mode-classes.def >> tmp-header-vars; echo HOOKS_H=hooks.h >> tmp-header-vars; echo HOSTHOOKS_DEF_H=hosthooks-def.h hooks.h >> tmp-header-vars; echo LANGHOOKS_DEF_H=langhooks-def.h hooks.h >> tmp-header-vars; echo TARGET_DEF_H=target-def.h target-hooks-def.h hooks.h targhooks.h >> tmp-header-vars; echo C_TARGET_DEF_H=c-family/c-target-def.h c-family/c-target-hooks-def.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h rich-location.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def hooks.h common/common-targhooks.h >> tmp-header-vars; echo CORETYPES_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h >> tmp-header-vars; echo RTL_BASE_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h >> tmp-header-vars; echo FIXED_VALUE_H=fixed-value.h >> tmp-header-vars; echo RTL_H=coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h genrtl.h >> tmp-header-vars; echo READ_MD_H=obstack.h hashtab.h read-md.h >> tmp-header-vars; echo INTERNAL_FN_H=internal-fn.h internal-fn.def insn-opinit.h >> tmp-header-vars; echo TREE_CORE_H=tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h >> tmp-header-vars; echo TREE_H=tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo REGSET_H=regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h >> tmp-header-vars; echo BASIC_BLOCK_H=basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h >> tmp-header-vars; echo GIMPLE_H=gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def insn-opinit.h hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h >> tmp-header-vars; echo GCOV_IO_H=gcov-io.h version.h auto-host.h gcov-counter.def >> tmp-header-vars; echo RECOG_H=recog.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo EMIT_RTL_H=emit-rtl.h >> tmp-header-vars; echo FLAGS_H=flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h >> tmp-header-vars; echo OPTIONS_H=options.h flag-types.h config/rs6000/rs6000-opts.h >> tmp-header-vars; echo FUNCTION_H=function.h hashtab.h tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h >> tmp-header-vars; echo EXPR_H=expr.h insn-config.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h rtl.h rtl.def reg-notes.def insn-notes.def line-map.h input.h real.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h fixed-value.h alias.h hashtab.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h genrtl.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h emit-rtl.h >> tmp-header-vars; echo OPTABS_H=optabs.h insn-codes.h insn-opinit.h >> tmp-header-vars; echo REGS_H=regs.h hard-reg-set.h >> tmp-header-vars; echo CFGLOOP_H=cfgloop.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h bitmap.h hashtab.h statistics.h sbitmap.h >> tmp-header-vars; echo IPA_UTILS_H=ipa-utils.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo IPA_REFERENCE_H=ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo CGRAPH_H=cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h >> tmp-header-vars; echo DF_H=df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo RESOURCE_H=resource.h hard-reg-set.h df.h bitmap.h hashtab.h statistics.h regset.h bitmap.h hashtab.h statistics.h hard-reg-set.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h alloc-pool.h timevar.h timevar.def >> tmp-header-vars; echo GCC_H=gcc.h version.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo GGC_H=ggc.h gtype-desc.h statistics.h >> tmp-header-vars; echo TIMEVAR_H=timevar.h timevar.def >> tmp-header-vars; echo INSN_ATTR_H=insn-attr.h insn-attr-common.h insn-addr.h >> tmp-header-vars; echo INSN_ADDR_H=insn-addr.h >> tmp-header-vars; echo C_COMMON_H=c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h rich-location.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo C_PRAGMA_H=c-family/c-pragma.h line-map.h rich-location.h cpplib.h >> tmp-header-vars; echo C_TREE_H=c/c-tree.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h rich-location.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo SYSTEM_H=system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h >> tmp-header-vars; echo PREDICT_H=predict.h predict.def >> tmp-header-vars; echo CPPLIB_H=line-map.h rich-location.h cpplib.h >> tmp-header-vars; echo CODYLIB_H=cody.hh >> tmp-header-vars; echo INPUT_H=line-map.h input.h >> tmp-header-vars; echo OPTS_H=line-map.h input.h vec.h statistics.h ggc.h gtype-desc.h statistics.h opts.h obstack.h >> tmp-header-vars; echo SYMTAB_H=symtab.h obstack.h >> tmp-header-vars; echo CPP_INTERNAL_H=internal.h >> tmp-header-vars; echo TREE_DUMP_H=tree-dump.h splay-tree.h line-map.h dumpfile.h >> tmp-header-vars; echo TREE_PASS_H=tree-pass.h timevar.h timevar.def line-map.h dumpfile.h >> tmp-header-vars; echo TREE_SSA_H=tree-ssa.h tree-ssa-operands.h bitmap.h hashtab.h statistics.h sbitmap.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def insn-opinit.h hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h hashtab.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h ipa-reference.h bitmap.h hashtab.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h tree-ssa-alias.h >> tmp-header-vars; echo PRETTY_PRINT_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo TREE_PRETTY_PRINT_H=tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo GIMPLE_PRETTY_PRINT_H=gimple-pretty-print.h tree-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo DIAGNOSTIC_CORE_H=diagnostic-core.h line-map.h input.h bversion.h diagnostic.def >> tmp-header-vars; echo DIAGNOSTIC_H=diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h >> tmp-header-vars; echo C_PRETTY_PRINT_H=c-family/c-pretty-print.h pretty-print.h line-map.h input.h obstack.h wide-int-print.h c-family/c-common.h c-family/c-common.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h splay-tree.h line-map.h rich-location.h cpplib.h ggc.h gtype-desc.h statistics.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h >> tmp-header-vars; echo TREE_INLINE_H=tree-inline.h >> tmp-header-vars; echo REAL_H=real.h >> tmp-header-vars; echo LTO_STREAMER_H=lto-streamer.h plugin-api.h tm.h options.h config/vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h target.h target.def target-hooks-macros.h target-insns.def insn-modes.h insn-codes.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h vec.h statistics.h ggc.h gtype-desc.h statistics.h hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def insn-opinit.h hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h gcov-io.h version.h auto-host.h gcov-counter.def diagnostic.h diagnostic-core.h line-map.h input.h bversion.h diagnostic.def pretty-print.h line-map.h input.h obstack.h wide-int-print.h alloc-pool.h >> tmp-header-vars; echo IPA_PROP_H=ipa-prop.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h vec.h statistics.h ggc.h gtype-desc.h statistics.h cgraph.h vec.h statistics.h ggc.h gtype-desc.h statistics.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cif-code.def ipa-ref.h plugin-api.h is-a.h gimple.h gimple.def gsstruct.def vec.h statistics.h ggc.h gtype-desc.h statistics.h ggc.h gtype-desc.h statistics.h basic-block.h predict.h predict.def vec.h statistics.h ggc.h gtype-desc.h statistics.h function.h hashtab.h tm.h options.h vxworks-dummy.h secureplt.h biarch64.h rs6000.h elfos.h gnu-user.h linux.h freebsd-spec.h sysv4.h sysv4le.h default64.h linux64.h glibc-stdint.h option-defaults.h initfini-array.h defaults.h insn-constants.h insn-flags.h options.h flag-types.h rs6000-opts.h rs6000-cpus.def rs6000-modes.h hard-reg-set.h vec.h statistics.h ggc.h gtype-desc.h statistics.h line-map.h input.h cfg-flags.def cfghooks.h profile-count.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h rs6000-opts.h real.h fixed-value.h tree-check.h tree-ssa-operands.h tree-ssa-alias.h internal-fn.h internal-fn.def insn-opinit.h hashtab.h hash-table.h ggc.h gtype-desc.h statistics.h is-a.h alloc-pool.h >> tmp-header-vars; echo BITMAP_H=bitmap.h hashtab.h statistics.h >> tmp-header-vars; echo GCC_PLUGIN_H=gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h hashtab.h >> tmp-header-vars; echo PLUGIN_H=plugin.h gcc-plugin.h highlev-plugin-common.h plugin.def config.h auto-host.h ansidecl.h system.h hwint.h libiberty.h safe-ctype.h filenames.h hashtab.h hashtab.h >> tmp-header-vars; echo PLUGIN_VERSION_H=plugin-version.h configargs.h >> tmp-header-vars; echo CONTEXT_H=context.h >> tmp-header-vars; echo GENSUPPORT_H=gensupport.h read-md.h optabs.def >> tmp-header-vars; echo RTL_SSA_H=pretty-print.h line-map.h input.h obstack.h wide-int-print.h insn-config.h splay-tree-utils.h recog.h tree.h tree-core.h coretypes.h insn-modes.h signop.h wide-int.h wide-int-print.h insn-modes-inline.h machmode.h mode-classes.def double-int.h align.h poly-int.h poly-int-types.h all-tree.def tree.def c-family/c-common.def ada-tree.def c-tree.def cp-tree.def d-tree.def m2-tree.def objc-tree.def builtins.def sync-builtins.def omp-builtins.def gtm-builtins.def sanitizer.def line-map.h input.h statistics.h vec.h statistics.h ggc.h gtype-desc.h statistics.h treestruct.def hashtab.h alias.h symtab.h obstack.h flags.h flag-types.h options.h flag-types.h config/rs6000/rs6000-opts.h real.h fixed-value.h tree-check.h regs.h hard-reg-set.h function-abi.h obstack-utils.h mux-utils.h rtlanal.h memmodel.h emit-rtl.h rtl-ssa/accesses.h rtl-ssa/insns.h rtl-ssa/blocks.h rtl-ssa/changes.h rtl-ssa/functions.h rtl-ssa/is-a.inl rtl-ssa/access-utils.h rtl-ssa/insn-utils.h rtl-ssa/movement.h rtl-ssa/change-utils.h rtl-ssa/member-fns.inl >> tmp-header-vars; echo GTFILES_H=gt-coverage.h gt-symtab-thunks.h gt-caller-save.h gt-symtab.h gt-alias.h gt-attribs.h gt-bitmap.h gt-cselib.h gt-cgraph.h gt-ipa-prop.h gt-ipa-cp.h gt-ipa-sra.h gt-ipa-modref.h gt-diagnostic-spec.h gt-dwarf2asm.h gt-dwarf2cfi.h gt-dwarf2ctf.h gt-dwarf2out.h gt-ctfout.h gt-btfout.h gt-tree-vect-generic.h gt-gimple-isel.h gt-dojump.h gt-emit-rtl.h gt-explow.h gt-expr.h gt-function.h gt-except.h gt-ggc-tests.h gt-gcse.h gt-godump.h gt-lists.h gt-optabs-libfuncs.h gt-profile.h gt-mcf.h gt-reg-stack.h gt-cfgrtl.h gt-stor-layout.h gt-stringpool.h gt-tree.h gt-varasm.h gt-tree-ssanames.h gt-tree-eh.h gt-tree-ssa-address.h gt-tree-cfg.h gt-tree-ssa-loop-ivopts.h gt-tree-dfa.h gt-tree-iterator.h gt-gimple-expr.h gt-tree-scalar-evolution.h gt-tree-profile.h gt-tree-nested.h gt-omp-general.h gt-omp-low.h gt-targhooks.h gt-rs6000.h gt-passes.h gt-cgraphclones.h gt-tree-phinodes.h gt-trans-mem.h gt-vtable-verify.h gt-asan.h gt-ubsan.h gt-tsan.h gt-sanopt.h gt-sancov.h gt-ipa-devirt.h gt-ipa-strub.h gt-calls.h gt-analyzer-analyzer-language.h gt-rs6000-logue.h gt-rs6000-call.h gt-rs6000-pcrel-opt.h gt-ada-decl.h gt-ada-trans.h gt-ada-utils.h gt-ada-misc.h gt-c-c-lang.h gt-c-c-decl.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-c-objc-common.h gt-c-c-parser.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-contracts.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-cp-cp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-d-d-builtins.h gt-d-d-lang.h gt-d-typeinfo.h gt-fortran-f95-lang.h gt-fortran-trans-decl.h gt-fortran-trans-intrinsic.h gt-fortran-trans-io.h gt-fortran-trans-stmt.h gt-fortran-trans-types.h gt-go-go-lang.h gt-jit-dummy-frontend.h gt-lto-lto-lang.h gt-lto-lto.h gt-lto-lto-common.h gt-lto-lto-dump.h gt-m2-gm2-lang.h gt-m2-rtegraph.h gt-m2-m2block.h gt-m2-m2builtins.h gt-m2-m2decl.h gt-m2-m2except.h gt-m2-m2expr.h gt-m2-m2statement.h gt-m2-m2type.h gt-objc-objc-act.h gt-objc-objc-runtime-shared-support.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-c-c-parser.h gt-c-c-decl.h gt-c-c-objc-common.h gt-c-family-c-common.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-c-family-c-format.h gt-c-family-c-common.h gt-c-family-c-format.h gt-c-family-c-cppbuiltin.h gt-c-family-c-pragma.h gt-cp-call.h gt-cp-class.h gt-cp-constexpr.h gt-cp-contracts.h gt-cp-constraint.h gt-cp-coroutines.h gt-cp-cp-gimplify.h gt-objcp-objcp-lang.h gt-cp-cp-objcp-common.h gt-cp-decl.h gt-cp-decl2.h gt-cp-except.h gt-cp-friend.h gt-cp-init.h gt-cp-lambda.h gt-cp-lex.h gt-cp-logic.h gt-cp-mangle.h gt-cp-method.h gt-cp-module.h gt-cp-name-lookup.h gt-cp-parser.h gt-cp-pt.h gt-cp-rtti.h gt-cp-semantics.h gt-cp-tree.h gt-cp-typeck2.h gt-cp-vtable-class-hierarchy.h gt-objc-objc-act.h gt-objc-objc-gnu-runtime-abi-01.h gt-objc-objc-next-runtime-abi-01.h gt-objc-objc-next-runtime-abi-02.h gt-objc-objc-runtime-shared-support.h gt-rust-rust-lang.h gt-rust-rust-constexpr.h gt-rust-rust-tree.h gt-vhdl-ortho-lang.h >> tmp-header-vars; echo GTFILES_LANG_H=gtype-ada.h gtype-c.h gtype-cp.h gtype-d.h gtype-fortran.h gtype-go.h gtype-jit.h gtype-lto.h gtype-m2.h gtype-objc.h gtype-objcp.h gtype-rust.h gtype-vhdl.h >> tmp-header-vars; /bin/bash ../../src/gcc/../move-if-change tmp-header-vars b-header-vars echo timestamp > s-header-vars /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin /usr/bin/install -c -m 644 gtype.state /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/gtype.state /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/plugin mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/plugin /usr/bin/install -c gengtype /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/plugin/gengtype /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/rich-location.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/analyzer/*.h ../../src/gcc/c/c-tree.def ../../src/gcc/config/elfos.h ../../src/gcc/config/freebsd-spec.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/config/rs6000/biarch64.h ../../src/gcc/config/rs6000/default64.h ../../src/gcc/config/rs6000/linux64.h ../../src/gcc/config/rs6000/option-defaults.h ../../src/gcc/config/rs6000/rs6000-cpus.def ../../src/gcc/config/rs6000/rs6000-modes.h ../../src/gcc/config/rs6000/rs6000-opts.h ../../src/gcc/config/rs6000/rs6000-protos.h ../../src/gcc/config/rs6000/rs6000.h ../../src/gcc/config/rs6000/secureplt.h ../../src/gcc/config/rs6000/sysv4.h ../../src/gcc/config/rs6000/sysv4le.h ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h align.h all-tree.def alloc-pool.h ansidecl.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/elfos.h config/freebsd-spec.h config/glibc-stdint.h config/gnu-user.h config/initfini-array.h config/linux-protos.h config/linux.h config/rs6000/biarch64.h config/rs6000/default64.h config/rs6000/linux64.h config/rs6000/option-defaults.h config/rs6000/rs6000-protos.h config/rs6000/rs6000.h config/rs6000/secureplt.h config/rs6000/sysv4.h config/rs6000/sysv4le.h config/vxworks-dummy.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def insn-opinit.h internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h poly-int-types.h poly-int.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \ srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \ for file in $headers; do \ if [ -f $file ] ; then \ path=$file; \ elif [ -f ../../src/gcc/$file ]; then \ path=../../src/gcc/$file; \ else continue; \ fi; \ case $path in \ "../../src/gcc"/analyzer/* \ | "../../src/gcc"/config/* | "../../src/gcc"/common/config/* \ | "../../src/gcc"/c-family/* | "../../src/gcc"/*.def ) \ base=`echo "$path" | sed -e "s|$srcdirstrip/||"`;; \ *) base=`basename $path` ;; \ esac; \ dest=/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/$base; \ echo /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc$dest; \ dir=`dirname $dest`; \ /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc$dir; \ /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc$dest; \ done /usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ansidecl.h /usr/bin/install -c -m 644 ../../src/gcc/../include/filenames.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/filenames.h /usr/bin/install -c -m 644 ../../src/gcc/../include/gomp-constants.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gomp-constants.h /usr/bin/install -c -m 644 ../../src/gcc/../include/hashtab.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hashtab.h /usr/bin/install -c -m 644 ../../src/gcc/../include/libiberty.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/libiberty.h /usr/bin/install -c -m 644 ../../src/gcc/../include/md5.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/md5.h /usr/bin/install -c -m 644 ../../src/gcc/../include/obstack.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/obstack.h /usr/bin/install -c -m 644 ../../src/gcc/../include/plugin-api.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/plugin-api.h /usr/bin/install -c -m 644 ../../src/gcc/../include/safe-ctype.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/safe-ctype.h /usr/bin/install -c -m 644 ../../src/gcc/../include/splay-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/cpplib.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cpplib.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/line-map.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/line-map.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/rich-location.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rich-location.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/symtab.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/symtab.h /usr/bin/install -c -m 644 ../../src/gcc/ada/gcc-interface/ada-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ada/gcc-interface/ada-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ada/gcc-interface /usr/bin/install -c -m 644 ../../src/gcc/analyzer/access-diagram.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/access-diagram.h mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer /usr/bin/install -c -m 644 ../../src/gcc/analyzer/analysis-plan.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/analysis-plan.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/analyzer-language.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/analyzer-language.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/analyzer-logging.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/analyzer-logging.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/analyzer-selftests.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/analyzer-selftests.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/analyzer.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/analyzer.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/bar-chart.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/bar-chart.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/call-details.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/call-details.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/call-info.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/call-info.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/call-string.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/call-string.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/call-summary.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/call-summary.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/checker-event.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/checker-event.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/checker-path.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/checker-path.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/complexity.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/complexity.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/constraint-manager.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/constraint-manager.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/diagnostic-manager.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/diagnostic-manager.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/engine.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/engine.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/exploded-graph.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/exploded-graph.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/feasible-graph.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/feasible-graph.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/function-set.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/function-set.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/inlining-iterator.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/inlining-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/known-function-manager.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/known-function-manager.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/pending-diagnostic.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/pending-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/program-point.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/program-point.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/program-state.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/program-state.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/ranges.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/ranges.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/reachability.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/reachability.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/record-layout.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/record-layout.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/region-model-manager.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/region-model-manager.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/region-model-reachability.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/region-model-reachability.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/region-model.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/region-model.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/region.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/region.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/sm.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/sm.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/state-purge.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/state-purge.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/store.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/store.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/supergraph.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/supergraph.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/svalue.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/svalue.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/symbol.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/symbol.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/trimmed-graph.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/trimmed-graph.h /usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c/c-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/elfos.h mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config /usr/bin/install -c -m 644 ../../src/gcc/config/freebsd-spec.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/freebsd-spec.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/biarch64.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/biarch64.h mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000 /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/default64.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/default64.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/linux64.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/linux64.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/option-defaults.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/option-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000-cpus.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000-cpus.def /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000-modes.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000-modes.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000-opts.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000-opts.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000-protos.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/secureplt.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/secureplt.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/sysv4.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/sysv4.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/sysv4le.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/sysv4le.h /usr/bin/install -c -m 644 ../../src/gcc/config/vxworks-dummy.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/vxworks-dummy.h /usr/bin/install -c -m 644 ../../src/gcc/cp/cp-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cp/cp-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cp /usr/bin/install -c -m 644 ../../src/gcc/d/d-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/d/d-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/d /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-addr.h /usr/bin/install -c -m 644 ../../src/gcc/m2/m2-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/m2/m2-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/m2 /usr/bin/install -c -m 644 ../../src/gcc/objc/objc-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/objc/objc-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/objc /usr/bin/install -c -m 644 ../../src/gcc/addresses.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/addresses.h /usr/bin/install -c -m 644 ../../src/gcc/alias.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/alias.h /usr/bin/install -c -m 644 ../../src/gcc/align.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/align.h /usr/bin/install -c -m 644 all-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/all-tree.def /usr/bin/install -c -m 644 ../../src/gcc/alloc-pool.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/alloc-pool.h /usr/bin/install -c -m 644 ../../src/gcc/array-traits.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/array-traits.h /usr/bin/install -c -m 644 ../../src/gcc/asan.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/asan.h /usr/bin/install -c -m 644 ../../src/gcc/attr-fnspec.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/attr-fnspec.h /usr/bin/install -c -m 644 ../../src/gcc/attribs.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/attribs.h /usr/bin/install -c -m 644 auto-host.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/auto-host.h /usr/bin/install -c -m 644 ../../src/gcc/auto-profile.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/auto-profile.h /usr/bin/install -c -m 644 ../../src/gcc/backend.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/backend.h /usr/bin/install -c -m 644 ../../src/gcc/basic-block.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/basic-block.h /usr/bin/install -c -m 644 ../../src/gcc/bb-reorder.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/bb-reorder.h /usr/bin/install -c -m 644 ../../src/gcc/bitmap.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/bitmap.h /usr/bin/install -c -m 644 ../../src/gcc/builtin-attrs.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/builtin-attrs.def /usr/bin/install -c -m 644 ../../src/gcc/builtin-types.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/builtin-types.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/builtins.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/builtins.h /usr/bin/install -c -m 644 bversion.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/bversion.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family/c-common.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family/c-common.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-objc.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family/c-objc.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pragma.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family/c-pragma.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pretty-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family/c-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-tree.h /usr/bin/install -c -m 644 ../../src/gcc/calls.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/calls.h /usr/bin/install -c -m 644 ../../src/gcc/ccmp.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ccmp.h /usr/bin/install -c -m 644 ../../src/gcc/cfg-flags.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfg-flags.def /usr/bin/install -c -m 644 ../../src/gcc/cfg.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfg.h /usr/bin/install -c -m 644 ../../src/gcc/cfganal.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfganal.h /usr/bin/install -c -m 644 ../../src/gcc/cfgbuild.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgbuild.h /usr/bin/install -c -m 644 ../../src/gcc/cfgcleanup.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgcleanup.h /usr/bin/install -c -m 644 ../../src/gcc/cfgexpand.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgexpand.h /usr/bin/install -c -m 644 ../../src/gcc/cfghooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfghooks.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloop.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgloop.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloopmanip.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgloopmanip.h /usr/bin/install -c -m 644 ../../src/gcc/cfgrtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgrtl.h /usr/bin/install -c -m 644 ../../src/gcc/cgraph.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cgraph.h /usr/bin/install -c -m 644 ../../src/gcc/cif-code.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cif-code.def /usr/bin/install -c -m 644 ../../src/gcc/collect-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/collect-utils.h /usr/bin/install -c -m 644 ../../src/gcc/collect2-aix.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/collect2-aix.h /usr/bin/install -c -m 644 ../../src/gcc/collect2.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/collect2.h /usr/bin/install -c -m 644 ../../src/gcc/color-macros.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/color-macros.h /usr/bin/install -c -m 644 ../../src/gcc/conditions.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/conditions.h /usr/bin/install -c -m 644 config.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config.h /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/freebsd-spec.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/freebsd-spec.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/biarch64.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/biarch64.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/default64.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/default64.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/linux64.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/linux64.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/option-defaults.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/option-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000-protos.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/secureplt.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/secureplt.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/sysv4.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/sysv4.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/sysv4le.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/sysv4le.h /usr/bin/install -c -m 644 ../../src/gcc/config/vxworks-dummy.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/vxworks-dummy.h /usr/bin/install -c -m 644 configargs.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/configargs.h /usr/bin/install -c -m 644 ../../src/gcc/context.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/context.h /usr/bin/install -c -m 644 ../../src/gcc/convert.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/convert.h /usr/bin/install -c -m 644 ../../src/gcc/coretypes.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/coretypes.h /usr/bin/install -c -m 644 ../../src/gcc/coroutine-builtins.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/coroutine-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/coverage.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/coverage.h /usr/bin/install -c -m 644 ../../src/gcc/cppbuiltin.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cppbuiltin.h /usr/bin/install -c -m 644 ../../src/gcc/cppdefault.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cppdefault.h /usr/bin/install -c -m 644 ../../src/gcc/cselib.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cselib.h /usr/bin/install -c -m 644 ../../src/gcc/ctfc.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ctfc.h /usr/bin/install -c -m 644 ../../src/gcc/data-streamer.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/data-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dbgcnt.def /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dbgcnt.h /usr/bin/install -c -m 644 ../../src/gcc/dce.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dce.h /usr/bin/install -c -m 644 ../../src/gcc/ddg.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ddg.h /usr/bin/install -c -m 644 ../../src/gcc/debug.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/debug.h /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/df.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/df.h /usr/bin/install -c -m 644 ../../src/gcc/dfp.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dfp.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-client-data-hooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-client-data-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-color.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-color.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-core.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-core.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-diagram.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-diagram.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-event-id.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-event-id.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-format-sarif.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-format-sarif.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-metadata.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-metadata.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-path.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-path.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-spec.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-spec.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-url.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-url.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic.def /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/digraph.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/digraph.h /usr/bin/install -c -m 644 ../../src/gcc/distro-defaults.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/distro-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/dojump.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dojump.h /usr/bin/install -c -m 644 ../../src/gcc/dominance.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dominance.h /usr/bin/install -c -m 644 ../../src/gcc/domwalk.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/domwalk.h /usr/bin/install -c -m 644 ../../src/gcc/double-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/double-int.h /usr/bin/install -c -m 644 ../../src/gcc/dump-context.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dump-context.h /usr/bin/install -c -m 644 ../../src/gcc/dumpfile.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dumpfile.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2asm.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dwarf2asm.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2ctf.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dwarf2ctf.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2out.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dwarf2out.h /usr/bin/install -c -m 644 ../../src/gcc/edit-context.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/edit-context.h /usr/bin/install -c -m 644 ../../src/gcc/emit-rtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/emit-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/errors.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/errors.h /usr/bin/install -c -m 644 ../../src/gcc/escaped_string.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/escaped_string.h /usr/bin/install -c -m 644 ../../src/gcc/et-forest.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/et-forest.h /usr/bin/install -c -m 644 ../../src/gcc/except.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/except.h /usr/bin/install -c -m 644 ../../src/gcc/explow.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/explow.h /usr/bin/install -c -m 644 ../../src/gcc/expmed.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/expmed.h /usr/bin/install -c -m 644 ../../src/gcc/expr.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/expr.h /usr/bin/install -c -m 644 ../../src/gcc/fibonacci_heap.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/fibonacci_heap.h /usr/bin/install -c -m 644 ../../src/gcc/file-find.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/file-find.h /usr/bin/install -c -m 644 ../../src/gcc/file-prefix-map.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/file-prefix-map.h /usr/bin/install -c -m 644 ../../src/gcc/fixed-value.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/fixed-value.h /usr/bin/install -c -m 644 ../../src/gcc/flag-types.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/flag-types.h /usr/bin/install -c -m 644 ../../src/gcc/flags.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/flags.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const-call.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/fold-const-call.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/fold-const.h /usr/bin/install -c -m 644 ../../src/gcc/function-abi.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/function-abi.h /usr/bin/install -c -m 644 ../../src/gcc/function.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/function.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-plugin.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc-plugin.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-rich-location.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc-rich-location.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-symtab.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc-symtab.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-urlifier.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc-urlifier.def /usr/bin/install -c -m 644 ../../src/gcc/gcc-urlifier.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc-urlifier.h /usr/bin/install -c -m 644 ../../src/gcc/gcc.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc.h /usr/bin/install -c -m 644 ../../src/gcc/gcov-counter.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcov-counter.def /usr/bin/install -c -m 644 ../../src/gcc/gcov-io.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcov-io.h /usr/bin/install -c -m 644 ../../src/gcc/gcse-common.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcse-common.h /usr/bin/install -c -m 644 ../../src/gcc/gcse.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcse.h /usr/bin/install -c -m 644 ../../src/gcc/generic-match.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/generic-match.h /usr/bin/install -c -m 644 ../../src/gcc/gengtype.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gengtype.h /usr/bin/install -c -m 644 genrtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/genrtl.h /usr/bin/install -c -m 644 ../../src/gcc/gensupport.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gensupport.h /usr/bin/install -c -m 644 ../../src/gcc/ggc-internal.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ggc-internal.h /usr/bin/install -c -m 644 ../../src/gcc/ggc.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ggc.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-array-bounds.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-array-bounds.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-builder.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-builder.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-expr.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-expr.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-fold.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-iterator.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-low.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-low.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-lower-bitint.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-lower-bitint.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-match.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-match.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predicate-analysis.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-predicate-analysis.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predict.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-predict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-pretty-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-cache.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-cache.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-edge.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-edge.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-fold.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-gori.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-gori.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-infer.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-infer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-op.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-op.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-path.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-path.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-phi.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-phi.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-trace.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-trace.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-access.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-ssa-warn-access.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-restrict.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-ssa-warn-restrict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-streamer.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-walk.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-walk.h /usr/bin/install -c -m 644 ../../src/gcc/gimple.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple.def /usr/bin/install -c -m 644 ../../src/gcc/gimple.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify-me.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimplify-me.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimplify.h /usr/bin/install -c -m 644 ../../src/gcc/glimits.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/glimits.h /usr/bin/install -c -m 644 ../../src/gcc/graph.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/graph.h /usr/bin/install -c -m 644 ../../src/gcc/graphds.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/graphds.h /usr/bin/install -c -m 644 ../../src/gcc/graphite.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/graphite.h /usr/bin/install -c -m 644 ../../src/gcc/graphviz.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/graphviz.h /usr/bin/install -c -m 644 ../../src/gcc/gsstruct.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gsstruct.def /usr/bin/install -c -m 644 ../../src/gcc/gsyms.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gsyms.h /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gsyslimits.h /usr/bin/install -c -m 644 ../../src/gcc/gtm-builtins.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gtm-builtins.def /usr/bin/install -c -m 644 gtype-desc.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gtype-desc.h /usr/bin/install -c -m 644 ../../src/gcc/hard-reg-set.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hard-reg-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map-traits.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hash-map-traits.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/hash-set.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hash-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-table.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hash-table.h /usr/bin/install -c -m 644 ../../src/gcc/hash-traits.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/highlev-plugin-common.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/highlev-plugin-common.h /usr/bin/install -c -m 644 ../../src/gcc/hooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hooks.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks-def.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hosthooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hosthooks.h /usr/bin/install -c -m 644 ../../src/gcc/hw-doloop.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hw-doloop.h /usr/bin/install -c -m 644 ../../src/gcc/hwint.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hwint.h /usr/bin/install -c -m 644 ../../src/gcc/ifcvt.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ifcvt.h /usr/bin/install -c -m 644 ../../src/gcc/inchash.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/inchash.h /usr/bin/install -c -m 644 ../../src/gcc/incpath.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/incpath.h /usr/bin/install -c -m 644 ../../src/gcc/input.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/input.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-addr.h /usr/bin/install -c -m 644 insn-attr-common.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-attr-common.h /usr/bin/install -c -m 644 insn-attr.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-attr.h /usr/bin/install -c -m 644 insn-codes.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-codes.h /usr/bin/install -c -m 644 insn-config.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-config.h /usr/bin/install -c -m 644 insn-constants.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-constants.h /usr/bin/install -c -m 644 insn-flags.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-flags.h /usr/bin/install -c -m 644 insn-modes-inline.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-modes-inline.h /usr/bin/install -c -m 644 insn-modes.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-modes.h /usr/bin/install -c -m 644 ../../src/gcc/insn-notes.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-notes.def /usr/bin/install -c -m 644 insn-opinit.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-opinit.h /usr/bin/install -c -m 644 ../../src/gcc/int-vector-builder.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/int-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/internal-fn.def /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/internal-fn.h /usr/bin/install -c -m 644 ../../src/gcc/intl.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/intl.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-cp.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-cp.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-fnsummary.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-fnsummary.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf-gimple.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-icf-gimple.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-icf.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-inline.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-inline.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-modref-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-modref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-param-manipulation.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-param-manipulation.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-predicate.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-predicate.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-prop.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-prop.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-ref.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-ref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-reference.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-reference.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-strub.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-strub.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-utils.h /usr/bin/install -c -m 644 ../../src/gcc/ira-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ira-int.h /usr/bin/install -c -m 644 ../../src/gcc/ira.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ira.h /usr/bin/install -c -m 644 ../../src/gcc/is-a.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/is-a.h /usr/bin/install -c -m 644 ../../src/gcc/iterator-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/iterator-utils.h /usr/bin/install -c -m 644 ../../src/gcc/json.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/json.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks-def.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/langhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/langhooks.h /usr/bin/install -c -m 644 ../../src/gcc/lcm.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lcm.h /usr/bin/install -c -m 644 ../../src/gcc/libfuncs.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/limitx.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/limitx.h /usr/bin/install -c -m 644 ../../src/gcc/limity.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/limity.h /usr/bin/install -c -m 644 ../../src/gcc/logical-location.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/loop-unroll.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/loop-unroll.h /usr/bin/install -c -m 644 ../../src/gcc/lower-subreg.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lower-subreg.h /usr/bin/install -c -m 644 ../../src/gcc/lra-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lra-int.h /usr/bin/install -c -m 644 ../../src/gcc/lra.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lra.h /usr/bin/install -c -m 644 ../../src/gcc/lto-compress.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lto-compress.h /usr/bin/install -c -m 644 ../../src/gcc/lto-section-names.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lto-section-names.h /usr/bin/install -c -m 644 ../../src/gcc/lto-streamer.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lto-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/machmode.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/machmode.def /usr/bin/install -c -m 644 ../../src/gcc/machmode.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/machmode.h /usr/bin/install -c -m 644 ../../src/gcc/make-unique.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/make-unique.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats-traits.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/mem-stats-traits.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/mem-stats.h /usr/bin/install -c -m 644 ../../src/gcc/memmodel.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/memmodel.h /usr/bin/install -c -m 644 ../../src/gcc/memory-block.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/memory-block.h /usr/bin/install -c -m 644 ../../src/gcc/mode-classes.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/mode-classes.def /usr/bin/install -c -m 644 ../../src/gcc/mux-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/mux-utils.h /usr/bin/install -c -m 644 ../../src/gcc/obstack-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/obstack-utils.h /usr/bin/install -c -m 644 ../../src/gcc/omp-api.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-api.h /usr/bin/install -c -m 644 ../../src/gcc/omp-builtins.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/omp-expand.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-expand.h /usr/bin/install -c -m 644 ../../src/gcc/omp-general.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-general.h /usr/bin/install -c -m 644 ../../src/gcc/omp-low.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-low.h /usr/bin/install -c -m 644 ../../src/gcc/omp-offload.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-offload.h /usr/bin/install -c -m 644 ../../src/gcc/omp-selectors.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-selectors.h /usr/bin/install -c -m 644 ../../src/gcc/omp-simd-clone.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-simd-clone.h /usr/bin/install -c -m 644 ../../src/gcc/opt-problem.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/opt-problem.h /usr/bin/install -c -m 644 ../../src/gcc/opt-suggestions.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/opt-suggestions.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-libfuncs.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optabs-libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-query.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optabs-query.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optabs-tree.h /usr/bin/install -c -m 644 ../../src/gcc/optabs.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optabs.def /usr/bin/install -c -m 644 ../../src/gcc/optabs.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optabs.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo-emit-json.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optinfo-emit-json.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optinfo.h /usr/bin/install -c -m 644 options.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/options.h /usr/bin/install -c -m 644 ../../src/gcc/opts-diagnostic.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/opts-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/opts-jobserver.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/opts-jobserver.h /usr/bin/install -c -m 644 ../../src/gcc/opts.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/opts.h /usr/bin/install -c -m 644 ../../src/gcc/ordered-hash-map.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ordered-hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/output.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/output.h /usr/bin/install -c -m 644 pass-instances.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/pass-instances.def /usr/bin/install -c -m 644 ../../src/gcc/pass_manager.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/pass_manager.h /usr/bin/install -c -m 644 ../../src/gcc/passes.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/passes.def /usr/bin/install -c -m 644 plugin-version.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/plugin-version.h /usr/bin/install -c -m 644 ../../src/gcc/plugin.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/plugin.def /usr/bin/install -c -m 644 ../../src/gcc/plugin.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/plugin.h /usr/bin/install -c -m 644 ../../src/gcc/pointer-query.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/pointer-query.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int-types.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/poly-int-types.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/poly-int.h /usr/bin/install -c -m 644 ../../src/gcc/predict.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/predict.def /usr/bin/install -c -m 644 ../../src/gcc/predict.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/predict.h /usr/bin/install -c -m 644 ../../src/gcc/prefix.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/prefix.h /usr/bin/install -c -m 644 ../../src/gcc/pretty-print-urlifier.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/pretty-print-urlifier.h /usr/bin/install -c -m 644 ../../src/gcc/pretty-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/print-rtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/print-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/print-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/print-tree.h /usr/bin/install -c -m 644 ../../src/gcc/profile-count.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/profile-count.h /usr/bin/install -c -m 644 ../../src/gcc/profile.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/profile.h /usr/bin/install -c -m 644 ../../src/gcc/range-op-mixed.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/range-op-mixed.h /usr/bin/install -c -m 644 ../../src/gcc/range-op.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/range-op.h /usr/bin/install -c -m 644 ../../src/gcc/range.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/range.h /usr/bin/install -c -m 644 ../../src/gcc/read-md.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/read-md.h /usr/bin/install -c -m 644 ../../src/gcc/read-rtl-function.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/read-rtl-function.h /usr/bin/install -c -m 644 ../../src/gcc/real.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/real.h /usr/bin/install -c -m 644 ../../src/gcc/realmpfr.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/realmpfr.h /usr/bin/install -c -m 644 ../../src/gcc/recog.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/recog.h /usr/bin/install -c -m 644 ../../src/gcc/reg-notes.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/reg-notes.def /usr/bin/install -c -m 644 ../../src/gcc/regcprop.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/regcprop.h /usr/bin/install -c -m 644 ../../src/gcc/regrename.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/regrename.h /usr/bin/install -c -m 644 ../../src/gcc/regs.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/regs.h /usr/bin/install -c -m 644 ../../src/gcc/regset.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/regset.h /usr/bin/install -c -m 644 ../../src/gcc/reload.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/reload.h /usr/bin/install -c -m 644 ../../src/gcc/resource.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/resource.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-error.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtl-error.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-iter.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtl-iter.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtl-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/rtl.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtl.def /usr/bin/install -c -m 644 ../../src/gcc/rtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtl.h /usr/bin/install -c -m 644 ../../src/gcc/rtlanal.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtlanal.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhash.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtlhash.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhooks-def.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtlhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/rtx-vector-builder.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtx-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/run-rtl-passes.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/run-rtl-passes.h /usr/bin/install -c -m 644 ../../src/gcc/sanitizer.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sanitizer.def /usr/bin/install -c -m 644 ../../src/gcc/sbitmap.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sbitmap.h /usr/bin/install -c -m 644 ../../src/gcc/sched-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sched-int.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-dump.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sel-sched-dump.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-ir.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sel-sched-ir.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sel-sched.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-diagnostic.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/selftest-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-rtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/selftest-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/selftest.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/selftest.h /usr/bin/install -c -m 644 ../../src/gcc/sese.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sese.h /usr/bin/install -c -m 644 ../../src/gcc/shortest-paths.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/shortest-paths.h /usr/bin/install -c -m 644 ../../src/gcc/shrink-wrap.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/shrink-wrap.h /usr/bin/install -c -m 644 ../../src/gcc/signop.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/signop.h /usr/bin/install -c -m 644 ../../src/gcc/sparseset.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sparseset.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/spellcheck-tree.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/spellcheck.h /usr/bin/install -c -m 644 ../../src/gcc/splay-tree-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/splay-tree-utils.h /usr/bin/install -c -m 644 ../../src/gcc/sreal.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sreal.h /usr/bin/install -c -m 644 ../../src/gcc/ssa-iterators.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ssa-iterators.h /usr/bin/install -c -m 644 ../../src/gcc/ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ssa.h /usr/bin/install -c -m 644 ../../src/gcc/statistics.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/statistics.h /usr/bin/install -c -m 644 ../../src/gcc/stmt.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/stmt.h /usr/bin/install -c -m 644 ../../src/gcc/stor-layout.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/stor-layout.h /usr/bin/install -c -m 644 ../../src/gcc/streamer-hooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/streamer-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/stringpool.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/stringpool.h /usr/bin/install -c -m 644 ../../src/gcc/substring-locations.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/substring-locations.h /usr/bin/install -c -m 644 ../../src/gcc/symbol-summary.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/symbol-summary.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-clones.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/symtab-clones.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-thunks.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/symtab-thunks.h /usr/bin/install -c -m 644 ../../src/gcc/sync-builtins.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sync-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/system.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/system.h /usr/bin/install -c -m 644 ../../src/gcc/target-def.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target-def.h /usr/bin/install -c -m 644 ../../src/gcc/target-globals.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target-globals.h /usr/bin/install -c -m 644 ../../src/gcc/target-hooks-macros.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target-hooks-macros.h /usr/bin/install -c -m 644 ../../src/gcc/target-insns.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target-insns.def /usr/bin/install -c -m 644 ../../src/gcc/target.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target.def /usr/bin/install -c -m 644 ../../src/gcc/target.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target.h /usr/bin/install -c -m 644 ../../src/gcc/targhooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/targhooks.h /usr/bin/install -c -m 644 ../../src/gcc/timevar.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/timevar.def /usr/bin/install -c -m 644 ../../src/gcc/timevar.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/timevar.h /usr/bin/install -c -m 644 tm-preds.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tm-preds.h /usr/bin/install -c -m 644 tm.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tm.h /usr/bin/install -c -m 644 tm_p.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tm_p.h /usr/bin/install -c -m 644 ../../src/gcc/toplev.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/toplev.h /usr/bin/install -c -m 644 ../../src/gcc/tracer.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tracer.h /usr/bin/install -c -m 644 ../../src/gcc/trans-mem.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/trans-mem.h /usr/bin/install -c -m 644 ../../src/gcc/tree-affine.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-affine.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfg.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-cfg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfgcleanup.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-cfgcleanup.h /usr/bin/install -c -m 644 tree-check.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-check.h /usr/bin/install -c -m 644 ../../src/gcc/tree-chrec.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-chrec.h /usr/bin/install -c -m 644 ../../src/gcc/tree-core.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-core.h /usr/bin/install -c -m 644 ../../src/gcc/tree-data-ref.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-data-ref.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dfa.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-dfa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-diagnostic.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dump.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-dump.h /usr/bin/install -c -m 644 ../../src/gcc/tree-eh.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-eh.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hash-traits.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hasher.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-hasher.h /usr/bin/install -c -m 644 ../../src/gcc/tree-if-conv.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-if-conv.h /usr/bin/install -c -m 644 ../../src/gcc/tree-inline.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-inline.h /usr/bin/install -c -m 644 ../../src/gcc/tree-into-ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-into-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-iterator.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/tree-logical-location.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/tree-nested.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-nested.h /usr/bin/install -c -m 644 ../../src/gcc/tree-object-size.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-object-size.h /usr/bin/install -c -m 644 ../../src/gcc/tree-outof-ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-outof-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-parloops.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-parloops.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pass.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-pass.h /usr/bin/install -c -m 644 ../../src/gcc/tree-phinodes.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-phinodes.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pretty-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/tree-scalar-evolution.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-scalar-evolution.h /usr/bin/install -c -m 644 ../../src/gcc/tree-sra.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-sra.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-address.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-address.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias-compare.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-alias-compare.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-alias.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ccp.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-ccp.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-coalesce.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-coalesce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dce.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-dce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dom.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-dom.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dse.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-dse.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-live.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-live.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-ivopts.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-loop-ivopts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-manip.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-loop-manip.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-niter.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-loop-niter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-loop.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-math-opts.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-math-opts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-operands.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-operands.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-propagate.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-propagate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-reassoc.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-reassoc.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-sccvn.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-sccvn.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-scopedtables.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-scopedtables.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-strlen.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-strlen.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ter.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-ter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadedge.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-threadedge.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadupdate.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-threadupdate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssanames.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssanames.h /usr/bin/install -c -m 644 ../../src/gcc/tree-stdarg.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-stdarg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-streamer.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-switch-conversion.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-switch-conversion.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vector-builder.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vectorizer.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-vectorizer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vrp.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-vrp.h /usr/bin/install -c -m 644 ../../src/gcc/tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree.def /usr/bin/install -c -m 644 ../../src/gcc/tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree.h /usr/bin/install -c -m 644 ../../src/gcc/treestruct.def /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/treestruct.def /usr/bin/install -c -m 644 ../../src/gcc/tristate.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tristate.h /usr/bin/install -c -m 644 ../../src/gcc/tsan.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tsan.h /usr/bin/install -c -m 644 ../../src/gcc/tsystem.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tsystem.h /usr/bin/install -c -m 644 ../../src/gcc/typeclass.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/typeclass.h /usr/bin/install -c -m 644 ../../src/gcc/typed-splay-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/typed-splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ubsan.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ubsan.h /usr/bin/install -c -m 644 ../../src/gcc/valtrack.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/valtrack.h /usr/bin/install -c -m 644 ../../src/gcc/value-pointer-equiv.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-pointer-equiv.h /usr/bin/install -c -m 644 ../../src/gcc/value-prof.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-prof.h /usr/bin/install -c -m 644 ../../src/gcc/value-query.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-query.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-pretty-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-range-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-storage.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-range-storage.h /usr/bin/install -c -m 644 ../../src/gcc/value-range.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-range.h /usr/bin/install -c -m 644 ../../src/gcc/value-relation.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-relation.h /usr/bin/install -c -m 644 ../../src/gcc/varasm.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/varasm.h /usr/bin/install -c -m 644 ../../src/gcc/vec-perm-indices.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vec-perm-indices.h /usr/bin/install -c -m 644 ../../src/gcc/vec.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vec.h /usr/bin/install -c -m 644 ../../src/gcc/vector-builder.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vector-builder.h /usr/bin/install -c -m 644 version.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/version.h /usr/bin/install -c -m 644 ../../src/gcc/vmsdbg.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vmsdbg.h /usr/bin/install -c -m 644 ../../src/gcc/vr-values.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vr-values.h /usr/bin/install -c -m 644 ../../src/gcc/vtable-verify.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vtable-verify.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-bitmask.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/wide-int-bitmask.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/wide-int-print.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/wide-int.h /usr/bin/install -c -m 644 ../../src/gcc/xcoff.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/xcoff.h /usr/bin/install -c -m 644 b-header-vars /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/b-header-vars make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' true DO=all multi-do # /usr/bin/make make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' true DO=install multi-do # /usr/bin/make make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES cats="po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/libcpp/$cat ]; then cat=../../src/libcpp/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc$dir/cpplib-14.mo; \ /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc$dir/cpplib-14.mo; \ done /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 po/sr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 po/zh_CN.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 po/sr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 po/zh_CN.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-14.mo make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcpp' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libdecnumber' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' if test -n ""; then \ /bin/bash ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`powerpc64le-linux-gnu-gcc-14 -g -O2 -print-multi-os-directory`; \ /usr/bin/install -c -m 644 ./libiberty.a /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`powerpc64le-linux-gnu-gcc-14 -g -O2 -print-multi-os-directory`/./libiberty.an; \ ( cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`powerpc64le-linux-gnu-gcc-14 -g -O2 -print-multi-os-directory` ; chmod 644 ./libiberty.an ;powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ./libiberty.an ); \ mv -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`powerpc64le-linux-gnu-gcc-14 -g -O2 -print-multi-os-directory`/./libiberty.an /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/`powerpc64le-linux-gnu-gcc-14 -g -O2 -print-multi-os-directory`/./libiberty.a; \ case "" in \ /*) thd=;; \ *) thd=/usr/lib/ghdl/gcc/include/;; \ esac; \ /bin/bash ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc${thd}; \ for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \ /usr/bin/install -c -m 644 $h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc${thd}; \ done; \ fi make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'install'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/c++tools' /bin/bash ../../src/c++tools/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14 /usr/bin/install -c g++-mapper-server /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14 make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/c++tools' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1.la '/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib' libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libcc1.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1.lai /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libcc1.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/../lib' /usr/bin/mkdir -p '/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin' libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/libcc1plugin.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1plugin.lai /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/libcc1plugin.la libtool: install: /usr/bin/install -c .libs/libcp1plugin.so.0.0.0 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/libcp1plugin.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so.0 || { rm -f libcp1plugin.so.0 && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so || { rm -f libcp1plugin.so && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcp1plugin.lai /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/libcp1plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin' make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14 /usr/bin/install -c -m 644 libgcc_eh.a /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/ chmod 644 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcc_eh.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14 /usr/bin/install -c -m 644 libgcc.a /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/ chmod 644 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcc.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcc.a /usr/bin/install -c -m 644 libgcov.a /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/ chmod 644 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcov.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o ecrti.o ecrtn.o ncrti.o ncrtn.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o crtoffloadtableS.o"; \ for file in $parts; do \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/$file; \ /usr/bin/install -c -m 644 $file /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/; \ case $file in \ *.a) \ powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/$file ;; \ esac; \ done /bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include /usr/bin/install -c -m 644 unwind.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include /bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include /usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' make[3]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' /usr/bin/make -C /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc install DESTDIR=/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -c -aI/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-gcc.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j8 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. powerpc64le-linux-gnu-gnatbind-14 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/vhdl/libgrt.a ar rcv lib/ghdl/gcc/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-errors_exec.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-backtraces.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/gcc/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/gcc/vhdl/grt.lst; done echo "@/libbacktrace.a" >> lib/ghdl/gcc/vhdl/grt.lst cat grt/grt-files.in >> lib/ghdl/gcc/vhdl/grt.lst echo "# link options for executables" > lib/ghdl/gcc/vhdl/grt-exec.lst for i in -Wl,--version-script=@/grt.ver -Wl,--export-dynamic; do echo $i >> lib/ghdl/gcc/vhdl/grt-exec.lst; done echo "# link options for shared libraries" > lib/ghdl/gcc/vhdl/grt-shared.lst for i in -Wl,-u,ghdl_main; do echo $i >> lib/ghdl/gcc/vhdl/grt-shared.lst; done mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/bin" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl" install -m 644 lib/ghdl/gcc/vhdl/libgrt.a /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libgrt.a install -m 644 lib/ghdl/gcc/vhdl/grt.lst /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt.lst install -m 644 lib/ghdl/gcc/vhdl/grt-exec.lst /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt-exec.lst install -m 644 lib/ghdl/gcc/vhdl/grt-shared.lst /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt-shared.lst install -m 644 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.ver /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/grt.ver test "x/usr/lib/ghdl/gcc/vhdl/libbacktrace.a" = x || test "xgcc" = xgcc || \ install -m 644 /usr/lib/ghdl/gcc/vhdl/libbacktrace.a /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/gcc/vhdl/$d/* "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/"; mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/vendors/" gcc-14 -c -g -o vpi_thunk.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/vpi_thunk.c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong gcc-14 -c -g -o vhpi_thunk.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/vhpi_thunk.c -fPIC -g -Wall -g -O2 -Werror=implicit-function-declaration -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong mkdir -p lib/ghdl/gcc gcc-14 -Wl,-z,relro -Wl,-z,now -Wl,-soname,libghdlvpi.so -Wl,-z,relro -Wl,-z,now -shared -o lib/ghdl/gcc/libghdlvpi.so vpi_thunk.o vhpi_thunk.o install -m 755 -p lib/ghdl/gcc/libghdlvpi.so "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/vpi_user.h "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 644 -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/vhpi_user.h "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-5_0_1.so "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 755 -p libghdl.a "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p libghdl.link "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p ../../src/synth/include/synth.h "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/bin/" install -m 755 -p lib/libghw.so "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/" install -m 644 -p ../../ghw/libghw.h "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/include/ghdl/" "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/bin/ghdl-gcc" --disp-standard --std=87 > "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v87/standard.vhdl" "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/bin/ghdl-gcc" --disp-standard --std=93 > "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v93/standard.vhdl" "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/bin/ghdl-gcc" --disp-standard --std=08 > "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' mv /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/bin/ghdl-gcc \ /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/bin/ghdl-gcc mv /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/lib/ghdl/libbacktrace.a \ /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/ghdl/gcc/vhdl/libbacktrace.a ln -sf ghdl/gcc/lib/gcc /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/lib/gcc ln -sf ../lib/ghdl/gcc/libexec/gcc /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/libexec/gcc debian/tests/ghdl-tests buildtest gcc > tests: sanity gna vests synth vpi vhpi > args: --keep-going GHDL is: /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/bin/ghdl-gcc GHDL 5.0.1 (Debian 5.0.1+dfsg-1+b1) [Dunoon edition] Compiled with GNAT Version: 14.2.0 GCC 14.2.0 code generator Written by Tristan Gingold. Copyright (C) 2003 - 2025 Tristan Gingold. GHDL is free software, covered by the GNU General Public License. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. REF: unknown HASH: unknown GHDL help usage: /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/gcc/usr/bin/ghdl-gcc COMMAND [OPTIONS] ... COMMAND is one of: analyze [OPTS] FILEs Analyze one or multiple VHDL files aliases: -a, analyse elaborate [OPTS] UNIT [ARCH] Elaborate design UNIT alias: -e run UNIT [ARCH] [RUNOPTS] Run design UNIT alias: -r elab-run [OPTS] UNIT [ARCH] [RUNOPTS] Elaborate and run design UNIT alias: --elab-run bind [OPTS] UNIT [ARCH] Bind design UNIT alias: --bind link [OPTS] UNIT [ARCH] Link design UNIT alias: --link list-link [OPTS] UNIT [ARCH] List objects file to link UNIT alias: --list-link compile [OPTS] FILEs -e UNIT [ARCH] Generate whole sequence to elaborate design UNIT from FILEs alias: -c make [OPTS] UNIT [ARCH] Make design UNIT alias: -m gen-makefile [OPTS] UNIT [ARCH] Generate a Makefile for design UNIT alias: --gen-makefile gen-depends [OPTS] UNIT [ARCH] Generate dependencies of design UNIT alias: --gen-depends disp-config Display tools path aliases: --disp-config, dispconfig, --dispconfig bootstrap-std (internal) Compile std.standard alias: --bootstrap-standard synth [FILES... -e] UNIT [ARCH] Synthesis from UNIT alias: --synth import [OPTS] FILEs Import units of FILEs alias: -i syntax [OPTS] FILEs Check syntax of FILEs alias: -s dir [LIBs] Display contents of the libraries alias: --dir files FILEs Display units in FILES alias: -f clean Remove generated files alias: --clean remove Remove generated files and library file alias: --remove disp-standard Disp std.standard in pseudo-vhdl alias: --disp-standard elab-order [--libraries] [OPTS] UNIT [ARCH] Display ordered source files alias: --elab-order find-top Display possible top entity in work library alias: --find-top chop [OPTS] FILEs Chop FILEs alias: --chop lines FILEs Precede line with its number alias: --lines reprint [OPTS] FILEs Redisplay FILEs alias: --reprint fmt [OPTS] FILEs Format FILEs alias: --format compare-tokens [OPTS] REF FILEs Compare FILEs with REF alias: --compare-tokens pp-html FILEs Pretty-print FILEs in HTML alias: --pp-html xref-html FILEs Display FILEs in HTML with xrefs alias: --xref-html xref FILEs Generate xrefs alias: --xref --vpi-compile CMD ARGS Compile with VPI/VHPI include path --vpi-link CMD ARGS Link with VPI/VHPI library --vpi-cflags Display VPI/VHPI compile flags --vpi-ldflags Display VPI/VHPI link flags --vpi-include-dir Display VPI/VHPI include directory --vpi-library-dir Display VPI/VHPI library directory --vpi-library-dir-unix Display VPI/VHPI library directory (unix form) file-to-xml FILEs Dump AST in XML alias: --file-to-xml --libghdl-name Display libghdl name --libghdl-library-path Display libghdl library path --libghdl-include-dir Display libghdl include directory help [CMD] Display this help or [help on CMD] aliases: -h, --help version Display ghdl version aliases: -v, --version help-options Display help for analyzer options alias: --help-options, opts-help, --options-help help-warnings Display help about all the warnings alias: --help-warnings To display the options of a GHDL program, run your program with the 'help' option. Also see 'opts-help' for analyzer options. Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity ..Running with 4 test workers. sanity 005examples: ok sanity 008coverage: ok sanity 006upf: ok sanity 000hello: ok sanity 002hello2008: ok sanity 001hello87: ok sanity 004all08: ok sanity tests are successful [GHDL - test] gna ..Running with 4 test workers. gna issue424: ok gna bug01: ok gna issue1404: ok gna issue2356: ok gna issue43: ok gna issue2357: ok gna issue2365: ok gna issue1405: ok gna issue141: ok gna issue439: ok gna issue1412: ok gna issue2368: ok gna bug010: ok gna issue1415: ok gna issue1416: ok gna issue237: ok gna issue44: ok gna bug0100: ok gna bug0101: ok gna bug0103: ok gna issue440: ok gna bug0104: ok gna issue1419: ok gna issue441: ok gna issue447: ok gna issue449: ok gna issue1420: ok gna bug0105: ok gna bug0106: ok gna bug0108: ok gna issue1425: ok gna issue238: ok gna issue45: ok gna issue1429: ok gna issue2381: ok gna issue2382: ok gna issue2383: ok gna issue143: ok gna issue450: ok gna issue451: ok gna issue2388: ok gna issue456: ok gna issue1431: ok gna issue144: ok gna issue2393: ok gna issue458: ok gna bug0109: ok gna issue2395: ok gna issue2396: ok gna issue24: ok gna issue1440: ok gna bug011: ok gna bug0110: ok gna bug0111: ok gna bug0112: ok gna bug0114: ok gna issue1443: ok gna issue2402: ok gna issue459: ok gna bug0115: ok gna issue1453: ok gna issue2407: ok gna issue461: ok gna issue465: ok gna issue1455: ok gna issue1456: ok gna issue1461: ok gna issue467: ok gna issue470: ok gna issue471: ok gna issue472: ok gna issue1469: ok gna issue241: ok gna issue147: ok gna issue1473: ok gna issue473: ok gna issue474: ok gna issue2410: ok gna issue1474: ok gna issue148: ok gna issue1480: ok gna issue1485: ok gna issue476: ok gna issue478: ok gna issue1486: ok gna issue1490: ok gna bug0117: ok gna bug0118: ok gna bug012: ok gna issue1493: ok gna bug0120: ok gna bug0122: ok gna issue15: ok gna bug0123: ok gna bug0124: ok gna bug0125: ok gna bug0126: ok gna issue150: ok gna issue1500: ok gna issue151: ok gna issue2417: ok gna bug0128: ok gna issue1514: ok gna issue242: ok gna issue1515: ok gna issue1517: ok gna bug0129: ok gna issue152: ok gna bug0130: ok gna issue2421: ok gna issue1523: ok gna issue1527: ok gna issue1528: ok gna bug0131: ok gna bug0133: ok gna bug0134: ok gna bug0135: ok gna issue2422: ok gna bug0136: ok gna bug0137: ok gna bug0138: ok gna bug0139: ok gna issue2424: ok gna bug014: ok gna issue2425: ok gna bug0140: ok gna issue2427: ok gna issue1549: ok gna issue155: ok gna bug015: ok gna issue156: ok gna bug016: ok gna issue2429: ok gna issue243: ok gna issue158: ok gna issue1588: ok gna issue2430: ok gna issue1589: ok gna issue1593: ok gna issue2432: ok gna issue1597: ok gna issue2433: ok gna issue160: ok gna issue1602: ok gna issue1612: ok gna issue1623: ok gna issue2435: ok gna issue1624: ok gna issue2437: ok gna issue244: ok gna issue1625: ok gna issue2440: ok gna issue163: ok gna issue2441: ok gna issue1631: ok gna issue1637: ok gna issue1639: ok gna issue2445: ok gna issue1640: ok gna issue2447: ok gna issue1646: ok gna issue1654: ok gna issue2454: ok gna issue2455: ok gna issue2458: ok gna issue2459: ok gna issue2460: ok gna issue2462: ok gna issue2463: ok gna issue2465: ok gna issue50: ok gna issue516: ok gna issue520: ok gna issue2466: ok gna issue1655: ok gna issue521: ok gna issue2470: ok gna issue1657: ok gna issue1664: ok gna issue2471: ok gna issue1667: ok gna issue167: ok gna issue522: ok gna issue524: ok gna issue525: ok gna issue2473: ok gna issue1672: ok gna issue1677: ok gna issue529: ok gna issue1684: ok gna bug017: ok gna issue530: ok gna bug018: ok gna issue2476: ok gna issue1687: ok gna issue1688: ok gna issue531: ok gna issue535: ok gna issue542: ok gna issue1689: ok gna issue1690: ok gna issue543: ok gna issue2477: ok gna issue1691: ok gna issue1697: ok gna issue544: ok gna issue2480: ok gna issue546: ok gna issue2481: ok gna issue547: ok gna issue548: ok gna issue17: ok gna issue552: ok gna issue553: ok gna issue1700: ok gna issue558: ok gna issue2486: ok gna issue559: ok gna issue560: ok gna issue2488: ok gna issue1704: ok gna issue561: ok gna issue563: ok gna issue2489: ok gna issue2494: ok gna issue2497: ok gna issue578: ok gna issue2498: ok gna issue1708: ok gna issue25: ok gna issue2500: ok gna issue584: ok gna issue586: ok gna issue2502: ok gna bug019: ok gna issue1715: ok gna issue2505: ok gna issue2506: ok gna issue1717: ok gna issue2507: ok gna issue2508: ok gna issue598: ok gna issue1718: ok gna issue2509: ok gna issue606: ok gna issue609: ok gna bug02: ok gna issue2510: ok gna bug021: ok gna issue1721: ok gna bug022: ok gna issue2516: ok gna issue1723: ok gna issue1724: ok gna issue610: ok gna issue613: ok gna issue2519: ok gna issue2525: ok gna issue2526: ok gna issue2528: ok gna issue1726: ok gna issue1727: ok gna issue615: ok gna bug023: ok gna issue1736: ok gna issue1751: ok gna bug024: ok gna bug026: ok gna issue253: ok gna bug027: ok gna bug028: ok gna issue2531: ok gna issue616: ok gna issue1757: ok gna bug029: ok gna issue2533: ok gna issue1759: ok gna issue618: ok gna bug03: ok gna bug030: ok gna bug031: ok gna issue2536: ok gna issue2538: ok gna issue2539: ok gna bug032: ok gna issue620: ok gna issue621: ok gna issue623: ok gna bug033: ok gna issue254: ok gna bug034: ok gna issue626: ok gna issue627: ok gna issue630: ok gna issue2540: ok gna issue631: ok gna issue2541: ok gna issue2542: ok gna issue2544: ok gna issue2546: ok gna issue632: ok gna issue2547: ok gna issue176: ok gna issue634: ok gna issue2550: ok gna issue2552: ok gna issue2554: ok gna issue1764: ok gna issue1765: ok gna issue1768: ok gna issue2556: ok gna issue2558: ok gna issue256: ok gna issue2561: ok gna issue1771: ok gna issue635: ok gna issue636: ok gna issue637: ok gna issue1772: ok gna issue2562: ok gna issue1779: ok gna issue18: ok gna issue1810: ok gna issue2564: ok gna issue2566: ok gna issue2567: ok gna issue1814: ok gna issue2569: ok gna issue1818: ok gna bug035: ok gna bug036: ok gna issue257: ok gna issue2570: ok gna issue1820: ok gna issue1823: ok gna issue1824: ok gna issue1831: ok gna issue2571: ok gna issue1832: ok gna issue2572: ok gna issue1833: ok gna issue2573: ok gna issue2575: ok gna issue1834: ok gna issue2579: ok gna issue1836: ok gna issue1837: ok gna issue258: ok gna issue1843: ok gna issue1844: ok gna issue641: ok gna issue2580: ok gna issue1857: ok gna issue642: ok gna issue2581: ok gna issue2584: ok gna issue643: ok gna issue1862: ok gna issue2586: ok gna issue2587: ok gna issue1864: ok gna issue259: ok gna issue645: ok gna issue2590: ok gna issue2592: ok gna issue2593: ok gna issue1867: ok gna issue1872: ok gna issue1875: ok gna issue2594: ok gna issue646: ok gna issue2597: ok gna bug037: ok gna issue2598: ok gna bug039: ok gna issue26: ok gna issue2607: ok gna issue1876: ok gna issue648: ok gna issue652: ok gna bug04: ok gna issue261: ok gna issue2611: ok gna issue2613: ok gna issue2614: ok gna issue654: ok gna issue1881: ok gna issue2618: ok gna issue1883: ok gna issue262: ok gna issue660: ok gna issue1894: ok gna issue1897: ok gna issue2620: ok gna issue663: ok gna issue2621: ok gna issue2624: ok gna issue1898: ok gna issue664: ok gna issue666: ok gna issue190: ok gna issue1908: ok gna issue191: ok gna issue1913: ok gna issue2626: ok gna issue263: ok gna issue1914: ok gna issue2630: ok gna issue668: ok gna issue1919: ok gna issue67: ok gna issue2631: ok gna issue264: ok gna issue672: ok gna issue2645: ok gna issue1924: ok gna issue676: ok gna issue679: ok gna issue1934: ok gna issue1935: ok gna issue683: ok gna issue1943: ok gna issue1953: ok gna issue1965: ok gna issue1979: ok gna issue685: ok gna issue2646: ok gna issue265: ok gna issue2650: ok gna issue2651: ok gna issue2652: ok gna issue2654: ok gna issue2656: ok gna issue687: ok gna issue2657: ok gna issue689: ok gna issue2666: ok gna issue69: ok gna issue2669: ok gna issue690: ok gna issue691: ok gna issue1980: ok gna issue2670: ok gna issue2673: ok gna issue199: ok gna issue1992: ok gna issue2676: ok gna issue692: ok gna issue1994: ok gna issue1999: ok gna issue268: ok gna issue2684: ok gna issue694: ok gna issue2686: ok gna issue695: ok gna issue697: ok gna issue705: ok gna issue2687: ok gna issue2690: ok gna issue2691: ok gna issue2693: ok gna issue2696: ok gna issue2702: ok gna issue707: ok gna issue708: ok gna issue71: ok gna issue2706: ok gna issue2709: ok gna issue2716: ok gna issue710: ok gna issue2720: ok gna issue2722: ok gna issue2: ok gna issue2725: ok gna issue713: ok gna issue20: ok gna issue2005: ok gna issue2006: ok gna issue2727: ok gna issue201: ok gna issue273: ok gna issue2735: ok gna issue2736: ok gna issue202: ok gna issue715: ok gna issue717: ok gna issue2737: ok gna issue2026: ok gna issue2739: ok gna issue2031: ok gna issue2038: ok gna issue204: ok gna issue2048: ok gna issue718: ok gna issue719: ok gna issue205: ok gna issue2050: ok gna issue72: ok gna issue726: ok gna issue729: ok gna issue73: ok gna issue2744: ok gna issue2051: ok gna issue731: ok gna issue734: ok gna issue2749: ok gna issue2055: ok gna issue735: ok gna issue2764: ok gna issue2765: ok gna issue2768: ok gna issue2773: ok gna issue2778: ok gna issue736: ok gna issue278: ok gna issue2780: ok gna issue2781: ok gna issue2784: ok gna issue737: ok gna issue2788: ok gna issue747: ok gna issue2065: ok gna issue2789: ok gna issue756: ok gna issue772: ok gna issue2066: ok gna issue207: ok gna issue2790: ok gna issue2791: ok gna issue776: ok gna issue777: ok gna issue779: ok gna issue2792: ok gna issue2822: ok gna issue2828: ok gna issue283: ok gna issue2070: ok gna issue2832: ok gna issue2835: ok gna issue2836: ok gna issue2837: ok gna issue780: ok gna issue2851: ok gna issue786: ok gna issue2071: ok gna issue2076: ok gna issue209: ok gna issue787: ok gna issue788: ok gna issue2091: ok gna issue2853: ok gna issue791: ok gna issue792: ok gna issue794: ok gna issue2854: ok gna issue795: ok gna issue2859: ok gna issue797: ok gna issue2097: ok gna issue2866: ok gna issue803: ok gna issue2867: ok gna issue2871: ok gna issue807: ok gna issue2098: ok gna issue2100: ok gna issue2875: ok gna issue2101: ok gna issue810: ok gna issue813: ok gna issue816: ok gna issue817: ok gna issue2888: ok gna issue2103: ok gna issue290: ok gna issue293: ok gna issue295: ok gna issue2104: ok gna issue818: ok gna issue2110: ok gna issue821: ok gna issue828: ok gna issue830: ok gna issue838: ok gna issue2112: ok gna issue852: ok gna issue2115: ok gna issue857: ok gna issue860: ok gna issue864: ok gna issue869: ok gna issue873: ok gna issue874: ok gna issue875: ok gna issue877: ok gna issue2116: ok gna issue2117: ok gna issue880: ok gna issue881: ok gna issue2118: ok gna issue212: ok gna issue885: ok gna issue886: ok gna issue2131: ok gna issue2134: ok gna issue2136: ok gna bug040: ok gna bug041: ok gna issue887: ok gna issue2138: ok gna bug042: ok gna issue899: ok gna issue9: ok gna bug043: ok gna bug044: ok gna issue2141: ok gna bug045: ok gna bug046: ok gna bug047: ok gna issue912: ok gna issue2147: ok gna issue2148: ok gna issue2150: ok gna issue913: ok gna bug048: ok gna issue916: ok gna issue2152: ok gna bug049: ok gna issue917: ok gna bug05: ok gna issue2153: ok gna issue918: ok gna bug050: ok gna issue922: ok gna issue2155: ok gna bug051: ok gna issue2156: ok gna issue961: ok gna issue2157: ok gna bug052: ok gna issue98: ok gna issue216: ok gna issue2162: ok gna issue983: ok gna bug053: ok gna bug054: ok gna bug055: ok gna issue984: ok gna issue2163: ok gna issue2164: ok gna issue2165: ok gna issue99: ok gna lsp27: ok gna bug056: ok gna bug057: ok gna bug058: ok gna bug059: ok gna issue2166: ok gna issue2171: ok gna issue2172: ok gna issue2173: ok gna bug06: ok gna bug060: ok gna issue2174: ok gna bug061: ok gna issue2175: ok gna issue2179: ok gna bug062: ok gna bug063: ok gna bug064: ok gna bug065: ok gna bug066: ok gna bug067: ok gna bug069: ok gna bug07: ok gna bug071: ok gna bug072: ok gna bug073: ok gna issue2185: ok gna bug074: ok gna bug075: ok gna issue2189: ok gna issue2190: ok gna issue2193: ok gna issue2196: ok gna issue2198: ok gna issue2200: ok gna issue2202: ok gna issue2209: ok gna bug077: ok gna issue2212: ok gna bug078: ok gna issue2215: ok gna bug079: ok gna issue2216: ok gna issue2217: ok gna issue2218: ok gna issue2219: ok gna issue2221: ok gna issue2223: ok gna issue223: ok gna issue30: ok gna issue2233: ok gna issue2235: ok gna issue2238: ok gna issue2239: ok gna issue300: ok gna issue2244: ok gna bug08: ok gna bug080: ok gna issue225: ok gna bug081: ok gna bug082: ok gna issue2250: ok gna bug083: ok gna issue2264: ok gna issue2267: ok gna issue2269: ok gna issue301: ok gna issue309: ok gna issue227: ok gna issue2271: ok gna issue310: ok gna issue312: ok gna issue314: ok gna issue316: ok gna issue2276: ok gna issue2277: ok gna issue228: ok gna bug084: ok gna bug085: ok gna issue2288: ok gna bug086: ok gna bug087: ok gna bug088: ok gna issue2299: ok gna bug09: ok gna issue2303: ok gna issue2306: ok gna issue2307: ok gna bug090: ok gna bug092: ok gna bug093: ok gna issue2323: ok gna bug094: ok gna issue2326: ok gna bug096: ok gna issue233: ok gna bug097: ok gna bug098: ok gna bug099: ok gna issue2333: ok gna bug14953: ok gna bug15638: ok gna bug15702: ok gna bug15933: ok gna issue2336: ok gna bug15966: ok gna issue2337: ok gna bug15993: ok gna bug16095: ok gna bug16096: ok gna issue2344: ok gna bug16144: ok gna bug16287: ok gna bug16695: ok gna bug16782: ok gna issue2346: ok gna issue2349: ok gna bug17127: ok gna issue235: ok gna bug17202: ok gna bug17203: ok gna issue2350: ok gna issue2353: ok gna bug17309: ok gna bug17545: ok gna bug17759: ok gna bug18280: ok gna bug18351: ok gna bug18353: ok gna bug18359: ok gna bug18360: ok gna bug18361: ok gna bug18659: ok gna bug18810: ok gna bug19195: ok gna bug20255: ok gna bug20312: ok gna bug20549: ok gna bug20597: ok gna bug20703: ok gna bug20767: ok gna bug20771: ok gna bug21052: ok gna bug21078: ok gna bug21274: ok gna bug21332: ok gna bug21487: ok gna bug21497: ok gna issue317: ok gna issue320: ok gna bug21500: ok gna issue321: ok gna bug21513: ok gna issue326: ok gna bug22868: ok gna bug23013: ok gna bug23165: ok gna bug23482: ok gna issue328: ok gna bug24064: ok gna bug24065: ok gna issue332: ok gna bug24324: ok gna issue333: ok gna bug24326: ok gna bug7186: ok gna issue339: ok gna bug7751: ok gna deb573721: ok gna issue349: ok gna issue351: ok gna issue356: ok gna deb585748: ok gna issue361: ok gna issue367: ok gna deb585750: ok gna fst01: ok gna gls7: ok gna issue368: ok gna issue369: ok gna issue370: ok gna issue10: ok gna issue1015: ok gna issue103: ok gna issue371: ok gna issue372: ok gna issue373: ok gna issue374: ok gna issue375: ok gna issue1038: ok gna issue376: ok gna issue379: ok gna issue38: ok gna issue381: ok gna issue1055: ok gna issue1057: ok gna issue382: ok gna issue384: ok gna issue387: ok gna issue388: ok gna issue389: ok gna issue392: ok gna issue394: ok gna issue395: ok gna issue106: ok gna issue397: ok gna issue40: ok gna issue1063: ok gna issue1066: ok gna issue400: ok gna issue1067: ok gna issue107: ok gna issue406: ok gna issue1120: ok gna issue407: ok gna issue413: ok gna issue1123: ok gna issue1125: ok gna issue1128: ok gna issue1129: ok gna perf02-long: ok gna sr2553: ok gna issue1131: ok gna sr2655: ok gna issue418: ok gna issue1137: ok gna issue419: ok gna issue42: ok gna sr2676: ok gna issue1138: ok gna sr2737: ok gna issue1145: ok gna issue1152: ok gna sr2903: ok gna sr2940: ok gna sr3028: ok gna sr3217: ok gna ticket101: ok gna issue1191: ok gna ticket104: ok gna issue1196: ok gna ticket109: ok gna issue1201: ok gna issue1206: ok gna ticket11: ok gna issue1224: ok gna ticket14: ok gna ticket15: ok gna issue1226: ok gna ticket18: ok gna issue1228: ok gna issue1229: ok gna issue123: ok gna ticket19: ok gna issue1233: ok gna ticket20: ok gna issue1240: ok gna issue1246: ok gna ticket24: ok gna issue1249: ok gna ticket26: ok gna issue125: ok gna issue1252: ok gna ticket29: ok gna ticket30: ok gna issue1256: ok gna ticket31: ok gna issue1257: ok gna issue126: ok gna ticket32: ok gna ticket35: ok gna issue1262: ok gna issue1268: ok gna issue1269: ok gna issue1274: ok gna issue1287: ok gna issue1288: ok gna ticket37: ok gna issue1292: ok gna ticket38: ok gna ticket39: ok gna ticket40: ok gna issue1295: ok gna ticket41: ok gna ticket43: ok gna ticket44: ok gna issue1300: ok gna ticket46: ok gna issue132: ok gna ticket47: ok gna issue1323: ok gna issue1326: ok gna ticket48: ok gna ticket49: ok gna ticket50: ok gna issue1338: ok gna issue1346: ok gna ticket51: ok gna ticket52: ok gna issue1347: ok gna ticket53: ok gna issue1354: ok gna issue136: ok gna ticket54: ok gna ticket55: ok gna ticket56: ok gna ticket57: ok gna ticket58: ok gna issue1360: ok gna ticket59: ok gna ticket61: ok gna ticket62: ok gna ticket63: ok gna ticket64: ok gna issue1361: ok gna ticket65: ok gna issue1362: ok gna issue1364: ok gna issue1370: ok gna issue1371: ok gna ticket66: ok gna ticket67: ok gna ticket68: ok gna issue1376: ok gna ticket69: ok gna issue1377: ok gna ticket70: ok gna issue1379: ok gna ticket71: ok gna issue1381: ok gna issue1384: ok gna issue1385: ok gna ticket73: ok gna issue1386: ok gna ticket74: ok gna ticket75: ok gna issue1387: ok gna ticket76: ok gna issue1389: ok gna issue1392: ok gna ticket77: ok gna issue14: ok gna issue140: ok gna issue1403: ok gna ticket78: ok gna ticket79: ok gna ticket81: ok gna ticket83: ok gna ticket84: ok gna ticket86: ok gna ticket87: ok gna ticket88: ok gna ticket9: ok gna ticket90: ok gna ticket92: ok gna ticket93: ok gna ticket94: ok gna ticket95: ok gna ticket96: ok gna ticket97: ok gna ticket98: ok gna tests are successful [GHDL - test] vests Vests is OK 29120 vests.log [GHDL - test] synth ..Running with 4 test workers. synth issue1170: ok synth issue1172: ok synth issue1899: ok synth issue1175: ok synth issue1903: ok synth issue1176: ok synth issue1177: ok synth issue1178: ok synth issue1179: ok synth issue2667: ok synth issue1180: ok synth issue2678: ok synth issue1181: ok synth issue1182: ok synth issue1186: ok synth issue2679: ok synth issue1909: ok synth issue1911: ok synth issue2682: ok synth issue1912: ok synth issue1190: ok synth issue1920: ok synth issue1926: ok synth issue1936: ok synth issue1193: ok synth issue1938: ok synth issue1942: ok synth issue1944: ok synth issue1197: ok synth issue1198: ok synth aggr01: ok synth issue1199: ok synth issue1207: ok synth issue1208: ok synth issue1209: ok synth issue1210: ok synth issue2683: ok synth issue2692: ok synth issue1945: ok synth issue1947: ok synth issue1948: ok synth issue1949: ok synth issue1211: ok synth issue1212: ok synth issue1217: ok synth issue2713: ok synth issue1218: ok synth issue2717: ok synth issue2740: ok synth issue2742: ok synth issue2743: ok synth aggr02: ok synth issue1219: ok synth aggr03: ok synth issue1951: ok synth issue1961: ok synth issue1962: ok synth issue2745: ok synth issue2746: ok synth issue1968: ok synth issue1971: ok synth issue1972: ok synth issue1977: ok synth issue1978: ok synth issue2747: ok synth issue2750: ok synth issue1986: ok synth issue1991: ok synth issue2751: ok synth issue2753: ok synth issue2755: ok synth issue1993: ok synth issue2002: ok synth issue1220: ok synth issue1221: ok synth issue2010: ok synth anon01: ok synth issue2766: ok synth issue2774: ok synth issue2782: ok synth issue2786: ok synth issue2798: ok synth issue2824: ok synth issue2826: ok synth issue2827: ok synth issue2834: ok synth issue1225: ok synth issue1230: ok synth issue1231: ok synth issue1234: ok synth issue1236: ok synth issue1237: ok synth issue2011: ok synth issue2850: ok synth issue2865: ok synth issue1238: ok synth issue1239: ok synth issue1240: ok synth issue1241: ok synth issue1242: ok synth issue1243: ok synth issue2013: ok synth issue2019: ok synth issue2021: ok synth issue2025: ok synth issue2032: ok synth issue2033: ok synth issue2034: ok synth issue2035: ok synth issue2041: ok synth issue2042: ok synth issue1250: ok synth issue1251: ok synth issue1253: ok synth issue1254: ok synth issue1258: ok synth issue2043: ok synth issue2045: ok synth issue1264: ok synth arr01: ok synth issue1265: ok synth issue1266: ok synth issue1267: ok synth issue1271: ok synth issue1272: ok synth arr02: ok synth issue2046: ok synth issue1273: ok synth issue1277: ok synth arr03: ok synth issue1280: ok synth issue1282: ok synth issue1283: ok synth issue2049: ok synth issue2053: ok synth issue2054: ok synth issue2062: ok synth issue1288: ok synth issue2063: ok synth issue1292: ok synth issue1294: ok synth issue2072: ok synth issue1295: ok synth issue1298: ok synth issue1302: ok synth issue1307: ok synth issue2073: ok synth issue2074: ok synth issue1310: ok synth issue1311: ok synth issue1313: ok synth issue1314: ok synth issue1316: ok synth issue1317: ok synth issue1318: ok synth issue1319: ok synth issue1321: ok synth issue1322: ok synth issue1324: ok synth issue2876: ok synth issue2077: ok synth asgn01: ok synth assertassumes0: ok synth issue1325: ok synth assign01: ok synth assumeasserts0: ok synth attr01: ok synth issue412: ok synth issue2080: ok synth issue2081: ok synth issue2083: ok synth issue2084: ok synth issue2085: ok synth issue2086: ok synth issue2088: ok synth issue2089: ok synth issue2090: ok synth issue2092: ok synth issue2099: ok synth issue2109: ok synth issue2113: ok synth issue2119: ok synth issue2123: ok synth issue2124: ok synth issue1330: ok synth issue1332: ok synth issue2125: ok synth issue2126: ok synth issue1333: ok synth issue2129: ok synth issue1342: ok synth issue2139: ok synth issue2140: ok synth issue2142: ok synth attr02: ok synth issue2143: ok synth issue2144: ok synth issue2145: ok synth issue1345: ok synth issue2146: ok synth issue2149: ok synth issue2159: ok synth issue2169: ok synth blackbox01: ok synth blackbox02: ok synth issue2176: ok synth issue1348: ok synth issue1366: ok synth block01: ok synth issue2177: ok synth bug01: ok synth issue2187: ok synth issue662: ok synth issue2204: ok synth bug02: ok synth issue2205: ok synth bug04: ok synth issue2214: ok synth issue2222: ok synth issue2224: ok synth issue872: ok synth issue882: ok synth issue936: ok synth issue1367: ok synth issue1372: ok synth issue1387: ok synth issue2231: ok synth issue2232: ok synth issue2234: ok synth issue937: ok synth issue1390: ok synth issue1406: ok synth issue938: ok synth issue940: ok synth issue941: ok synth issue1407: ok synth issue944: ok synth issue945: ok synth issue1408: ok synth issue946: ok synth issue947: ok synth issue948: ok synth case01: ok synth issue1413: ok synth issue1414: ok synth issue1417: ok synth issue1421: ok synth issue1424: ok synth issue1426: ok synth issue951: ok synth issue953: ok synth issue954: ok synth issue1428: ok synth issue1430: ok synth issue1442: ok synth issue1454: ok synth issue955: ok synth issue956: ok synth issue958: ok synth case02: ok synth issue2237: ok synth issue2240: ok synth issue2266: ok synth issue2270: ok synth issue960: ok synth issue962: ok synth issue2273: ok synth issue963: ok synth cnt01: ok synth issue2279: ok synth issue2285: ok synth issue964: ok synth issue965: ok synth issue972: ok synth issue973: ok synth issue2286: ok synth latch01: ok synth latch02: ok synth comp01: ok synth issue2327: ok synth lib01: ok synth comp02: ok synth comp03: ok synth lit01: ok synth issue2328: ok synth issue2330: ok synth issue2334: ok synth issue2339: ok synth issue2347: ok synth issue2361: ok synth issue2369: ok synth issue2372: ok synth issue2390: ok synth issue2399: ok synth issue2408: ok synth issue2418: ok synth issue2428: ok synth match01: ok synth issue2436: ok synth issue2438: ok synth issue2444: ok synth issue2456: ok synth issue2461: ok synth issue2467: ok synth issue2474: ok synth issue2484: ok synth issue2504: ok synth issue2511: ok synth issue2513: ok synth issue2514: ok synth issue2521: ok synth issue2529: ok synth comp04: ok synth issue2537: ok synth issue2545: ok synth issue2551: ok synth issue2553: ok synth issue2599: ok synth issue2605: ok synth issue2606: ok synth issue2616: ok synth issue2634: ok synth issue2647: ok synth issue2658: ok synth concat01: ok synth concat02: ok synth const01: ok synth issue1460: ok synth issue1475: ok synth issue1481: ok synth issue1503: ok synth conv01: ok synth issue1505: ok synth mem01: ok synth issue1509: ok synth issue1520: ok synth issue1531: ok synth issue1534: ok synth issue1536: ok synth issue1537: ok synth issue1540: ok synth issue1563: ok synth issue1572: ok synth issue1591: ok synth issue1596: ok synth issue1609: ok synth issue1628: ok synth issue1645: ok synth issue1650: ok synth mem02: ok synth issue1654: ok synth issue1658: ok synth issue1665: ok synth dff01: ok synth mem03: ok synth issue1675: ok synth issue1679: ok synth issue1680: ok synth issue1681: ok synth issue1685: ok synth issue1698: ok synth issue1703: ok synth memdp01: ok synth issue1731: ok synth issue1734: ok synth issue1780: ok synth dff02: ok synth issue1781: ok synth issue1832: ok synth issue1838: ok synth issue1859: ok synth issue1860: ok synth issue1886: ok synth issue1889: ok synth dff03: ok synth dff04: ok synth memmux01: ok synth dff05: ok synth mixed1: ok synth module01: ok synth module02: ok synth null01: ok synth dispin01: ok synth oper01: ok synth dispout01: ok synth dispvhdl01: ok synth oper02: ok synth enum01: ok synth exit01: ok synth external01: ok synth output01: ok synth param01: ok synth physical01: ok synth pkg01: ok synth plugin200: ok synth pragma01: ok synth forgen01: ok synth psl01: ok synth psl02: ok synth range01: ok synth rec01: ok synth fsm01: ok synth fsm02: ok synth fsm03: ok synth ret01: ok synth simple01: ok synth slice01: ok synth slice02: ok synth func01: ok synth func02: ok synth func03: ok synth gen01: ok synth generate01: ok synth iassoc01: ok synth if01: ok synth if02: ok synth if03: ok synth initial01: ok synth sns01: ok synth insert01: ok synth int01: ok synth issue1002: ok synth issue1004: ok synth issue1005: ok synth issue1006: ok synth issue1007: ok synth issue1008: ok synth issue1009: ok synth issue1011: ok synth issue1014: ok synth issue1018: ok synth issue1021: ok synth issue1023: ok synth issue1025: ok synth issue1032: ok synth issue1033: ok synth issue1034: ok synth issue1035: ok synth issue1036: ok synth issue1039: ok synth issue1041: ok synth issue1042: ok synth issue1043: ok synth issue1044: ok synth issue1046: ok synth issue1047: ok synth issue1050: ok synth issue1054: ok synth issue1058: ok synth issue1062: ok synth issue1064: ok synth issue1068: ok synth snsuns01: ok synth stmt01: ok synth string01: ok synth issue1069: ok synth issue1074: ok synth issue1075: ok synth subprg01: ok synth issue1076: ok synth issue1077: ok synth issue1078: ok synth synth104: ok synth synth108: ok synth synth110: ok synth issue1079: ok synth synth111: ok synth issue1080: ok synth synth115: ok synth issue1081: ok synth synth12: ok synth issue1082: ok synth synth128: ok synth issue1083: ok synth issue1086: ok synth synth129: ok synth synth14: ok synth issue1090: ok synth issue1095: ok synth synth154: ok synth synth174: ok synth synth179: ok synth synth180: ok synth synth183: ok synth issue1096: ok synth issue1099: ok synth synth188: ok synth synth26: ok synth issue1100: ok synth synth27: ok synth synth33: ok synth issue1101: ok synth issue1107: ok synth issue1109: ok synth issue1113: ok synth issue1114: ok synth issue1116: ok synth issue1117: ok synth synth34: ok synth synth36: ok synth issue1122: ok synth synth38: ok synth synth39: ok synth synth40: ok synth synth44: ok synth synth45: ok synth synth47: ok synth synth48: ok synth synth50: ok synth synth52: ok synth synth56: ok synth synth58: ok synth synth60: ok synth synth76: ok synth synth8: ok synth synth80: ok synth synth87: ok synth synth93: ok synth transoff01: ok synth uassoc01: ok synth unary01: ok synth var01: ok synth var02: ok synth issue1126: ok synth issue1127: ok synth issue1130: ok synth issue1132: ok synth issue1133: ok synth issue1139: ok synth issue1140: ok synth issue1144: ok synth issue1146: ok synth issue1148: ok synth issue1153: ok synth issue1155: ok synth issue1157: ok synth issue1158: ok synth issue1159: ok synth issue1160: ok synth issue1161: ok synth issue1162: ok synth issue1163: ok synth issue1164: ok synth issue1165: ok synth issue1166: ok synth issue1167: ok synth issue1168: ok synth issue1169: ok synth tests are successful [GHDL - test] vpi ..Running with 4 test workers. vpi issue2856: ok vpi vpi011: ok vpi vpi003: ok vpi vpi007: ok vpi issue2874: ok vpi vpi004: ok vpi vpi008: ok vpi vpi001: ok vpi vpi009: ok vpi vpi005: ok vpi vpi002: ok vpi vpi010: ok vpi vpi006: ok vpi tests are successful [GHDL - test] vhpi ..Running with 4 test workers. vhpi 001load_lib: ok vhpi 005trace_file: ok vhpi 003load_multi_lib: ok vhpi 002load_entrypoint: ok vhpi 004trace_stdout: ok vhpi tests are successful [GHDL - test] SUCCESSFUL touch /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/stamps/test-gcc dh_testdir ------------------------------------------------------------ Run testsuite for llvm backend ------------------------------------------------------------ # With some paths patched for the Debian packaging, the tests will not # work right when run from the build directory. To keep it simple # install into a temporary location and tell the testsuite to find ghdl # there. /usr/bin/make -C /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm install DESTDIR=/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/bin" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl" VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#5.0.1#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o grt-cdynload.o" \ LDFLAGS="-Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-14" \ LLVM_LDFLAGS='`llvm-config --ldflags --libs --system-libs`' \ CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong" \ GNATMAKE="gnatmake -v -j8 -R -eS " all make[3]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' gnatmake -v -j8 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-14 \ `llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... "ortho_front.ali" being checked ... "ortho_llvm.ali" being checked ... "bug.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "errorout-console.ali" being checked ... "flags.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "translation.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "ortho_ident.ali" being checked ... "simple_io.ali" being checked ... "version.ali" being checked ... "files_map.ali" being checked ... "str_table.ali" being checked ... "filesystem.ali" being checked ... "logging.ali" being checked ... "std_names.ali" being checked ... "tables.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-tokens.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "outputs.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-parse.ali" being checked ... "ortho_nodes.ali" being checked ... "trans.ali" being checked ... "trans-chap1.ali" being checked ... "trans-chap12.ali" being checked ... "trans-chap2.ali" being checked ... "trans-chap3.ali" being checked ... "trans-chap4.ali" being checked ... "trans-chap7.ali" being checked ... "trans-coverage.ali" being checked ... "trans-helpers2.ali" being checked ... "trans-rtis.ali" being checked ... "trans_decls.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "vhdl-xrefs.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-types.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-vstrings.ali" being checked ... "mutils.ali" being checked ... "types_utils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "grt-types.ali" being checked ... "grt-algos.ali" being checked ... "utils_io.ali" being checked ... "grt-c.ali" being checked ... "grt-stdio.ali" being checked ... "psl-errors.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "trans-chap5.ali" being checked ... "trans-chap6.ali" being checked ... "trans-chap9.ali" being checked ... "trans-chap8.ali" being checked ... "trans-chap14.ali" being checked ... "trans-foreach_non_composite.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "elab-vhdl_types.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "psl-subsets.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "trans_analyzes.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-errors.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "elab-vhdl_context.ali" being checked ... "grt-strings.ali" being checked ... "grt-arith.ali" being checked ... "netlists.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "synth-source.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-files.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-builders.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-files_operations.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "synth-flags.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "grt-table.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-inference.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "grt-astdio.ali" being checked ... "grt-dynload.ali" being checked ... "synthesis.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "grt-readline.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-verilog_insts.ali" being checked ... "grt-readline_none.ali" being checked ... "netlists-butils.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "verilog.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "verilog-vpi.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-standard.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-sv_strings.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-disp_tree.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "name_maps.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-debugger.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1-llvm" up to date. make[3]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' gnatmake -v -j8 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -static \ -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "filesystem.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "simple_io.ali" being checked ... "tables.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-std_package.ali" being checked ... "version.ali" being checked ... "std_names.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-utils.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "synth.ali" being checked ... "synth-verilog_insts.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synthesis.ali" being checked ... "verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "verilog-vpi.ali" being checked ... "vhdl-types.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "outputs.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt.ali" being checked ... "grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-context.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "netlists-builders.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-utils.ali" being checked ... "synth-errors.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "types_utils.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "grt-algos.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-folds.ali" being checked ... "synth-source.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "netlists-memories.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-standard.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "grt-astdio.ali" being checked ... "grt-stdio.ali" being checked ... "name_maps.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_strings.ali" being checked ... "mutils.ali" being checked ... "grt-c.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "grt-severity.ali" being checked ... "grt-dynload.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-strings.ali" being checked ... "grt-files.ali" being checked ... "grt-files_operations.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "psl-subsets.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-disp_tree.ali" being checked ... "netlists-butils.ali" being checked ... "verilog-debugger.ali" being checked ... "grt-arith.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "grt-readline.ali" being checked ... "grt-table.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl_llvm" up to date. install -m 755 ghdl_llvm /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/bin/ghdl-llvm install -m 755 ghdl1-llvm /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/ghdl1-llvm cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -c -aI/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-jit.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j8 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. powerpc64le-linux-gnu-gnatbind-14 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/vhdl/libgrt.a ar rcv lib/ghdl/llvm/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-errors_exec.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-backtraces.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/vhdl/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/libgrt.a /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/libgrt.a install -m 644 lib/ghdl/llvm/vhdl/grt.lst /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/grt-exec.lst /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt-exec.lst install -m 644 lib/ghdl/llvm/vhdl/grt-shared.lst /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt-shared.lst install -m 644 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.ver /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/grt.ver test "x" = x || test "xllvm" = xgcc || \ install -m 644 /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/llvm/vhdl/$d/* "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/"; mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p lib/ghdl/llvm/libghdlvpi.so "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/vpi_user.h "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 644 -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/vhpi_user.h "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-5_0_1.so "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 755 -p libghdl.a "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p libghdl.link "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p ../../src/synth/include/synth.h "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/bin/" install -m 755 -p lib/libghw.so "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/" install -m 644 -p ../../ghw/libghw.h "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/include/ghdl/" "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/bin/ghdl-llvm" --disp-standard --std=87 > "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v87/standard.vhdl" "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/bin/ghdl-llvm" --disp-standard --std=93 > "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v93/standard.vhdl" "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/bin/ghdl-llvm" --disp-standard --std=08 > "/build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/lib/ghdl/llvm/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' debian/tests/ghdl-tests buildtest llvm > tests: sanity gna vests synth vpi vhpi > args: --keep-going GHDL is: /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/bin/ghdl-llvm GHDL 5.0.1 (Debian 5.0.1+dfsg-1+b1) [Dunoon edition] Compiled with GNAT Version: 14.2.0 llvm 19.1.7 code generator Written by Tristan Gingold. Copyright (C) 2003 - 2025 Tristan Gingold. GHDL is free software, covered by the GNU General Public License. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. REF: unknown HASH: unknown GHDL help usage: /build/reproducible-path/ghdl-5.0.1+dfsg/testrundir/llvm/usr/bin/ghdl-llvm COMMAND [OPTIONS] ... COMMAND is one of: analyze [OPTS] FILEs Analyze one or multiple VHDL files aliases: -a, analyse elaborate [OPTS] UNIT [ARCH] Elaborate design UNIT alias: -e run UNIT [ARCH] [RUNOPTS] Run design UNIT alias: -r elab-run [OPTS] UNIT [ARCH] [RUNOPTS] Elaborate and run design UNIT alias: --elab-run bind [OPTS] UNIT [ARCH] Bind design UNIT alias: --bind link [OPTS] UNIT [ARCH] Link design UNIT alias: --link list-link [OPTS] UNIT [ARCH] List objects file to link UNIT alias: --list-link compile [OPTS] FILEs -e UNIT [ARCH] Generate whole sequence to elaborate design UNIT from FILEs alias: -c make [OPTS] UNIT [ARCH] Make design UNIT alias: -m gen-makefile [OPTS] UNIT [ARCH] Generate a Makefile for design UNIT alias: --gen-makefile gen-depends [OPTS] UNIT [ARCH] Generate dependencies of design UNIT alias: --gen-depends disp-config Display tools path aliases: --disp-config, dispconfig, --dispconfig bootstrap-std (internal) Compile std.standard alias: --bootstrap-standard synth [FILES... -e] UNIT [ARCH] Synthesis from UNIT alias: --synth import [OPTS] FILEs Import units of FILEs alias: -i syntax [OPTS] FILEs Check syntax of FILEs alias: -s dir [LIBs] Display contents of the libraries alias: --dir files FILEs Display units in FILES alias: -f clean Remove generated files alias: --clean remove Remove generated files and library file alias: --remove disp-standard Disp std.standard in pseudo-vhdl alias: --disp-standard elab-order [--libraries] [OPTS] UNIT [ARCH] Display ordered source files alias: --elab-order find-top Display possible top entity in work library alias: --find-top chop [OPTS] FILEs Chop FILEs alias: --chop lines FILEs Precede line with its number alias: --lines reprint [OPTS] FILEs Redisplay FILEs alias: --reprint fmt [OPTS] FILEs Format FILEs alias: --format compare-tokens [OPTS] REF FILEs Compare FILEs with REF alias: --compare-tokens pp-html FILEs Pretty-print FILEs in HTML alias: --pp-html xref-html FILEs Display FILEs in HTML with xrefs alias: --xref-html xref FILEs Generate xrefs alias: --xref --vpi-compile CMD ARGS Compile with VPI/VHPI include path --vpi-link CMD ARGS Link with VPI/VHPI library --vpi-cflags Display VPI/VHPI compile flags --vpi-ldflags Display VPI/VHPI link flags --vpi-include-dir Display VPI/VHPI include directory --vpi-library-dir Display VPI/VHPI library directory --vpi-library-dir-unix Display VPI/VHPI library directory (unix form) file-to-xml FILEs Dump AST in XML alias: --file-to-xml --libghdl-name Display libghdl name --libghdl-library-path Display libghdl library path --libghdl-include-dir Display libghdl include directory help [CMD] Display this help or [help on CMD] aliases: -h, --help version Display ghdl version aliases: -v, --version help-options Display help for analyzer options alias: --help-options, opts-help, --options-help help-warnings Display help about all the warnings alias: --help-warnings To display the options of a GHDL program, run your program with the 'help' option. Also see 'opts-help' for analyzer options. Please, refer to the GHDL manual for more information. Report issues on https://github.com/ghdl/ghdl [GHDL - test] sanity ..Running with 4 test workers. sanity 005examples: ok sanity 008coverage: ok sanity 006upf: ok sanity 000hello: ok sanity 002hello2008: ok sanity 001hello87: ok sanity 004all08: ok sanity tests are successful [GHDL - test] gna ..Running with 4 test workers. gna issue424: ok gna issue1404: ok gna issue2356: ok gna bug01: ok gna issue43: ok gna issue1405: ok gna issue2357: ok gna issue141: ok gna issue2365: ok gna issue1412: ok gna issue439: ok gna issue2368: ok gna issue1415: ok gna issue1416: ok gna bug010: ok gna issue237: ok gna issue44: ok gna issue1419: ok gna issue440: ok gna issue441: ok gna issue447: ok gna issue449: ok gna bug0100: ok gna bug0101: ok gna issue1420: ok gna bug0103: ok gna bug0104: ok gna issue45: ok gna issue238: ok gna issue1425: ok gna issue2381: ok gna issue2382: ok gna issue2383: ok gna bug0105: ok gna bug0106: ok gna issue450: ok gna bug0108: ok gna issue1429: ok gna issue143: ok gna issue451: ok gna issue2388: ok gna issue456: ok gna issue458: ok gna issue2393: ok gna issue1431: ok gna issue144: ok gna issue2395: ok gna bug0109: ok gna issue2396: ok gna issue24: ok gna issue1440: ok gna issue2402: ok gna issue459: ok gna bug011: ok gna bug0110: ok gna bug0111: ok gna bug0112: ok gna bug0114: ok gna issue1443: ok gna issue461: ok gna bug0115: ok gna issue2407: ok gna issue465: ok gna issue1453: ok gna issue467: ok gna issue470: ok gna issue471: ok gna issue472: ok gna issue1455: ok gna issue1456: ok gna issue241: ok gna issue1461: ok gna issue473: ok gna issue474: ok gna issue1469: ok gna issue2410: ok gna issue147: ok gna issue1473: ok gna issue476: ok gna issue478: ok gna issue1474: ok gna bug0117: ok gna bug0118: ok gna issue148: ok gna issue1480: ok gna issue1485: ok gna bug012: ok gna issue1486: ok gna bug0120: ok gna issue1490: ok gna bug0122: ok gna issue1493: ok gna bug0123: ok gna bug0124: ok gna issue15: ok gna bug0125: ok gna bug0126: ok gna bug0128: ok gna issue2417: ok gna issue150: ok gna issue1500: ok gna issue151: ok gna issue242: ok gna issue1514: ok gna bug0129: ok gna bug0130: ok gna issue1515: ok gna issue2421: ok gna issue1517: ok gna issue152: ok gna issue1523: ok gna issue1527: ok gna bug0131: ok gna bug0133: ok gna issue1528: ok gna bug0134: ok gna bug0135: ok gna bug0136: ok gna bug0137: ok gna bug0138: ok gna bug0139: ok gna issue2422: ok gna bug014: ok gna issue2424: ok gna bug0140: ok gna issue2425: ok gna bug015: ok gna bug016: ok gna issue2427: ok gna issue1549: ok gna issue155: ok gna issue2429: ok gna issue156: ok gna issue243: ok gna issue158: ok gna issue2430: ok gna issue1588: ok gna issue1589: ok gna issue1593: ok gna issue2432: ok gna issue2433: ok gna issue1597: ok gna issue160: ok gna issue1602: ok gna issue2435: ok gna issue1612: ok gna issue1623: ok gna issue1624: ok gna issue2437: ok gna issue244: ok gna issue2440: ok gna issue1625: ok gna issue2441: ok gna issue163: ok gna issue2445: ok gna issue2447: ok gna issue1631: ok gna issue1637: ok gna issue1639: ok gna issue1640: ok gna issue1646: ok gna issue2454: ok gna issue2455: ok gna issue2458: ok gna issue1654: ok gna issue2459: ok gna issue2460: ok gna issue2462: ok gna issue2463: ok gna issue2465: ok gna issue2466: ok gna bug017: ok gna bug018: ok gna issue2470: ok gna issue2471: ok gna issue1655: ok gna issue2473: ok gna issue1657: ok gna issue1664: ok gna issue1667: ok gna issue167: ok gna issue2476: ok gna issue1672: ok gna issue1677: ok gna issue50: ok gna issue1684: ok gna issue516: ok gna issue520: ok gna issue521: ok gna issue1687: ok gna issue1688: ok gna issue2477: ok gna issue1689: ok gna issue2480: ok gna issue1690: ok gna issue522: ok gna issue2481: ok gna issue1691: ok gna issue524: ok gna issue1697: ok gna issue525: ok gna issue529: ok gna issue2486: ok gna issue17: ok gna issue1700: ok gna issue530: ok gna issue2488: ok gna issue531: ok gna issue2489: ok gna issue535: ok gna issue2494: ok gna issue1704: ok gna issue2497: ok gna issue542: ok gna issue2498: ok gna issue543: ok gna issue25: ok gna issue2500: ok gna issue544: ok gna issue2502: ok gna issue2505: ok gna issue2506: ok gna issue2507: ok gna issue2508: ok gna issue1708: ok gna issue546: ok gna bug019: ok gna issue2509: ok gna issue1715: ok gna issue1717: ok gna issue547: ok gna issue548: ok gna issue1718: ok gna issue552: ok gna issue2510: ok gna issue553: ok gna issue558: ok gna bug02: ok gna issue559: ok gna issue2516: ok gna bug021: ok gna issue1721: ok gna issue560: ok gna bug022: ok gna issue2519: ok gna issue2525: ok gna issue2526: ok gna issue2528: ok gna issue1723: ok gna issue1724: ok gna issue561: ok gna issue1726: ok gna issue1727: ok gna issue253: ok gna issue563: ok gna issue2531: ok gna issue1736: ok gna bug023: ok gna issue1751: ok gna bug024: ok gna bug026: ok gna bug027: ok gna bug028: ok gna issue2533: ok gna issue1757: ok gna issue578: ok gna bug029: ok gna issue1759: ok gna issue584: ok gna issue2536: ok gna issue2538: ok gna issue2539: ok gna issue586: ok gna bug03: ok gna bug030: ok gna bug031: ok gna issue598: ok gna bug032: ok gna issue254: ok gna bug033: ok gna issue606: ok gna issue609: ok gna issue176: ok gna issue2540: ok gna issue2541: ok gna issue2542: ok gna issue2544: ok gna issue2546: ok gna issue2547: ok gna bug034: ok gna issue1764: ok gna issue2550: ok gna issue2552: ok gna issue2554: ok gna issue1765: ok gna issue1768: ok gna issue610: ok gna issue613: ok gna issue2556: ok gna issue615: ok gna issue2558: ok gna issue616: ok gna issue256: ok gna issue2561: ok gna issue618: ok gna issue1771: ok gna issue620: ok gna issue621: ok gna issue623: ok gna issue1772: ok gna issue2562: ok gna issue1779: ok gna issue626: ok gna issue627: ok gna issue630: ok gna issue631: ok gna issue18: ok gna issue632: ok gna issue1810: ok gna issue634: ok gna issue1814: ok gna issue2564: ok gna issue2566: ok gna issue2567: ok gna issue1818: ok gna issue2569: ok gna issue257: ok gna issue2570: ok gna issue1820: ok gna issue1823: ok gna issue1824: ok gna issue2571: ok gna issue1831: ok gna issue635: ok gna issue636: ok gna issue1832: ok gna issue637: ok gna issue2572: ok gna issue2573: ok gna issue1833: ok gna issue2575: ok gna issue1834: ok gna issue2579: ok gna issue1836: ok gna issue1837: ok gna issue258: ok gna bug035: ok gna bug036: ok gna issue1843: ok gna issue1844: ok gna issue2580: ok gna issue1857: ok gna issue2581: ok gna issue2584: ok gna issue1862: ok gna issue2586: ok gna issue2587: ok gna issue1864: ok gna issue259: ok gna issue1867: ok gna issue2590: ok gna issue2592: ok gna issue2593: ok gna issue1872: ok gna issue1875: ok gna issue2594: ok gna issue2597: ok gna issue2598: ok gna issue1876: ok gna issue26: ok gna issue2607: ok gna issue1881: ok gna issue1883: ok gna issue261: ok gna issue2611: ok gna issue2613: ok gna issue2614: ok gna issue1894: ok gna issue1897: ok gna issue2618: ok gna issue262: ok gna issue641: ok gna issue2620: ok gna issue1898: ok gna issue642: ok gna issue2621: ok gna issue2624: ok gna issue190: ok gna issue1908: ok gna issue191: ok gna issue643: ok gna issue1913: ok gna issue645: ok gna issue1914: ok gna issue1919: ok gna issue646: ok gna issue648: ok gna issue652: ok gna issue1924: ok gna issue654: ok gna issue1934: ok gna issue1935: ok gna issue2626: ok gna issue660: ok gna issue1943: ok gna issue1953: ok gna issue1965: ok gna issue1979: ok gna issue263: ok gna issue2630: ok gna issue663: ok gna issue2631: ok gna issue264: ok gna issue664: ok gna issue666: ok gna issue2645: ok gna bug037: ok gna bug039: ok gna bug04: ok gna issue2646: ok gna issue265: ok gna issue668: ok gna issue2650: ok gna issue2651: ok gna issue2652: ok gna issue67: ok gna issue2654: ok gna issue2656: ok gna issue672: ok gna issue2657: ok gna issue676: ok gna issue679: ok gna issue2666: ok gna issue2669: ok gna issue683: ok gna issue2670: ok gna issue2673: ok gna issue685: ok gna issue2676: ok gna issue268: ok gna issue2684: ok gna issue1980: ok gna issue2686: ok gna issue199: ok gna issue1992: ok gna issue2687: ok gna issue2690: ok gna issue2691: ok gna issue2693: ok gna issue1994: ok gna issue2696: ok gna issue2702: ok gna issue1999: ok gna issue687: ok gna issue2706: ok gna issue2709: ok gna issue2716: ok gna issue689: ok gna issue69: ok gna issue690: ok gna issue691: ok gna issue2720: ok gna issue2722: ok gna issue2725: ok gna issue692: ok gna issue2727: ok gna issue694: ok gna issue273: ok gna issue695: ok gna issue2735: ok gna issue2736: ok gna issue697: ok gna issue705: ok gna issue2737: ok gna issue2739: ok gna issue707: ok gna issue708: ok gna issue71: ok gna issue710: ok gna issue2: ok gna issue2744: ok gna issue20: ok gna issue2005: ok gna issue2006: ok gna issue713: ok gna issue2749: ok gna issue201: ok gna issue2764: ok gna issue202: ok gna issue2765: ok gna issue2768: ok gna issue2773: ok gna issue2026: ok gna issue715: ok gna issue2031: ok gna issue2038: ok gna issue204: ok gna issue2778: ok gna issue2048: ok gna issue278: ok gna issue717: ok gna issue205: ok gna issue2050: ok gna issue2780: ok gna issue2781: ok gna issue718: ok gna issue719: ok gna issue72: ok gna issue726: ok gna issue2784: ok gna issue729: ok gna issue2051: ok gna issue73: ok gna issue2055: ok gna issue2788: ok gna issue731: ok gna issue734: ok gna issue2789: ok gna issue735: ok gna issue2790: ok gna issue2791: ok gna issue736: ok gna issue2792: ok gna issue2822: ok gna issue2828: ok gna issue283: ok gna issue2832: ok gna issue2835: ok gna issue2836: ok gna issue2837: ok gna issue2851: ok gna issue737: ok gna issue747: ok gna issue2853: ok gna issue756: ok gna issue772: ok gna issue2854: ok gna issue2859: ok gna issue776: ok gna issue777: ok gna issue779: ok gna issue2866: ok gna issue780: ok gna issue2867: ok gna issue786: ok gna issue2871: ok gna issue787: ok gna issue2875: ok gna issue788: ok gna issue2888: ok gna issue791: ok gna issue792: ok gna issue794: ok gna issue290: ok gna issue2065: ok gna issue2066: ok gna issue293: ok gna issue207: ok gna issue795: ok gna issue295: ok gna issue797: ok gna issue803: ok gna issue807: ok gna issue810: ok gna issue813: ok gna issue816: ok gna issue2070: ok gna issue817: ok gna issue2071: ok gna issue2076: ok gna issue209: ok gna issue2091: ok gna issue818: ok gna issue821: ok gna issue828: ok gna issue830: ok gna issue838: ok gna issue2097: ok gna issue852: ok gna issue857: ok gna issue860: ok gna issue2098: ok gna issue2100: ok gna issue864: ok gna issue2101: ok gna issue869: ok gna issue873: ok gna issue874: ok gna issue875: ok gna issue2103: ok gna issue877: ok gna issue880: ok gna issue881: ok gna issue885: ok gna issue886: ok gna issue2104: ok gna issue2110: ok gna issue887: ok gna issue899: ok gna issue9: ok gna issue2112: ok gna issue2115: ok gna issue912: ok gna issue913: ok gna issue916: ok gna issue917: ok gna issue918: ok gna issue922: ok gna issue2116: ok gna issue2117: ok gna issue961: ok gna issue2118: ok gna issue212: ok gna issue98: ok gna issue2131: ok gna issue2134: ok gna issue983: ok gna issue2136: ok gna issue984: ok gna issue2138: ok gna issue99: ok gna lsp27: ok gna issue2141: ok gna issue2147: ok gna issue2148: ok gna issue2150: ok gna issue2152: ok gna issue2153: ok gna issue2155: ok gna issue2156: ok gna issue2157: ok gna issue216: ok gna issue2162: ok gna issue2163: ok gna issue2164: ok gna issue2165: ok gna issue2166: ok gna issue2171: ok gna issue2172: ok gna issue2173: ok gna issue2174: ok gna issue2175: ok gna issue2179: ok gna issue2185: ok gna issue2189: ok gna issue2190: ok gna issue2193: ok gna issue2196: ok gna issue2198: ok gna issue2200: ok gna issue2202: ok gna issue2209: ok gna issue2212: ok gna issue2215: ok gna issue2216: ok gna issue2217: ok gna issue2218: ok gna issue2219: ok gna issue2221: ok gna issue2223: ok gna issue223: ok gna issue2233: ok gna issue2235: ok gna issue2238: ok gna issue2239: ok gna issue2244: ok gna issue225: ok gna issue2250: ok gna issue2264: ok gna issue2267: ok gna issue2269: ok gna issue227: ok gna issue2271: ok gna issue2276: ok gna issue2277: ok gna issue228: ok gna issue2288: ok gna issue2299: ok gna issue2303: ok gna issue2306: ok gna issue2307: ok gna issue2323: ok gna issue2326: ok gna issue233: ok gna issue2333: ok gna issue2336: ok gna issue30: ok gna issue2337: ok gna issue300: ok gna issue2344: ok gna issue2346: ok gna issue2349: ok gna issue235: ok gna issue2350: ok gna issue2353: ok gna issue301: ok gna issue309: ok gna issue310: ok gna issue312: ok gna issue314: ok gna issue316: ok gna issue317: ok gna issue320: ok gna issue321: ok gna issue326: ok gna issue328: ok gna issue332: ok gna issue333: ok gna issue339: ok gna issue349: ok gna issue351: ok gna issue356: ok gna issue361: ok gna issue367: ok gna issue368: ok gna issue369: ok gna issue370: ok gna issue371: ok gna issue372: ok gna issue373: ok gna issue374: ok gna issue375: ok gna issue376: ok gna issue379: ok gna issue38: ok gna issue381: ok gna issue382: ok gna issue384: ok gna issue387: ok gna issue388: ok gna issue389: ok gna issue392: ok gna issue394: ok gna issue395: ok gna issue397: ok gna issue40: ok gna issue400: ok gna issue406: ok gna issue407: ok gna issue413: ok gna bug040: ok gna bug041: ok gna bug042: ok gna issue418: ok gna issue419: ok gna issue42: ok gna bug043: ok gna bug044: ok gna bug045: ok gna bug046: ok gna bug047: ok gna bug048: ok gna bug049: ok gna bug05: ok gna bug050: ok gna bug051: ok gna bug052: ok gna bug053: ok gna bug054: ok gna bug055: ok gna bug056: ok gna bug057: ok gna bug058: ok gna bug059: ok gna bug06: ok gna bug060: ok gna bug061: ok gna bug062: ok gna bug063: ok gna bug064: ok gna bug065: ok gna bug066: ok gna bug067: ok gna bug069: ok gna bug07: ok gna bug071: ok gna bug072: ok gna bug073: ok gna bug074: ok gna bug075: ok gna bug077: ok gna bug078: ok gna bug079: ok gna bug08: ok gna bug080: ok gna bug081: ok gna bug082: ok gna bug083: ok gna bug084: ok gna bug085: ok gna bug086: ok gna bug087: ok gna bug088: ok gna bug09: ok gna bug090: ok gna bug092: ok gna bug093: ok gna bug094: ok gna bug096: ok gna bug097: ok gna bug098: ok gna bug099: ok gna bug14953: ok gna bug15638: ok gna bug15702: ok gna bug15933: ok gna bug15966: ok gna bug15993: ok gna bug16095: ok gna bug16096: ok gna bug16144: ok gna bug16287: ok gna bug16695: ok gna bug16782: ok gna bug17127: ok gna bug17202: ok gna bug17203: ok gna bug17309: ok gna bug17545: ok gna bug17759: ok gna bug18280: ok gna bug18351: ok gna bug18353: ok gna bug18359: ok gna bug18360: ok gna bug18361: ok gna bug18659: ok gna bug18810: ok gna bug19195: ok gna bug20255: ok gna bug20312: ok gna bug20549: ok gna bug20597: ok gna bug20703: ok gna bug20767: ok gna bug20771: ok gna bug21052: ok gna bug21078: ok gna bug21274: ok gna bug21332: ok gna bug21487: ok gna bug21497: ok gna bug21500: ok gna bug21513: ok gna bug22868: ok gna bug23013: ok gna bug23165: ok gna bug23482: ok gna bug24064: ok gna bug24065: ok gna bug24324: ok gna bug24326: ok gna bug7186: ok gna bug7751: ok gna deb573721: ok gna deb585748: ok gna deb585750: ok gna fst01: ok gna gls7: ok gna issue10: ok gna issue1015: ok gna issue103: ok gna issue1038: ok gna issue1055: ok gna issue1057: ok gna issue106: ok gna issue1063: ok gna issue1066: ok gna issue1067: ok gna issue107: ok gna issue1120: ok gna issue1123: ok gna issue1125: ok gna issue1128: ok gna issue1129: ok gna issue1131: ok gna issue1137: ok gna issue1138: ok gna issue1145: ok gna issue1152: ok gna issue1191: ok gna issue1196: ok gna issue1201: ok gna issue1206: ok gna issue1224: ok gna issue1226: ok gna issue1228: ok gna issue1229: ok gna issue123: ok gna issue1233: ok gna issue1240: ok gna issue1246: ok gna issue1249: ok gna issue125: ok gna issue1252: ok gna issue1256: ok gna issue1257: ok gna issue126: ok gna issue1262: ok gna issue1268: ok gna issue1269: ok gna issue1274: ok gna issue1287: ok gna issue1288: ok gna issue1292: ok gna issue1295: ok gna issue1300: ok gna issue132: ok gna issue1323: ok gna issue1326: ok gna issue1338: ok gna issue1346: ok gna issue1347: ok gna issue1354: ok gna issue136: ok gna issue1360: ok gna issue1361: ok gna issue1362: ok gna issue1364: ok gna issue1370: ok gna issue1371: ok gna issue1376: ok gna issue1377: ok gna issue1379: ok gna issue1381: ok gna issue1384: ok gna issue1385: ok gna issue1386: ok gna perf02-long: ok gna sr2553: ok gna sr2655: ok gna issue1387: ok gna issue1389: ok gna issue1392: ok gna sr2676: ok gna issue14: ok gna issue140: ok gna issue1403: ok gna sr2737: ok gna sr2903: ok gna sr2940: ok gna sr3028: ok gna sr3217: ok gna ticket101: ok gna ticket104: ok gna ticket109: ok gna ticket11: ok gna ticket14: ok gna ticket15: ok gna ticket18: ok gna ticket19: ok gna ticket20: ok gna ticket24: ok gna ticket26: ok gna ticket29: ok gna ticket30: ok gna ticket31: ok gna ticket32: ok gna ticket35: ok gna ticket37: ok gna ticket38: ok gna ticket39: ok gna ticket40: ok gna ticket41: ok gna ticket43: ok gna ticket44: ok gna ticket46: ok gna ticket47: ok gna ticket48: ok gna ticket49: ok gna ticket50: ok gna ticket51: ok gna ticket52: ok gna ticket53: ok gna ticket54: ok gna ticket55: ok gna ticket56: ok gna ticket57: ok gna ticket58: ok gna ticket59: ok gna ticket61: ok gna ticket62: ok gna ticket63: ok gna ticket64: ok gna ticket65: ok gna ticket66: ok gna ticket67: ok gna ticket68: ok gna ticket69: ok gna ticket70: ok gna ticket71: ok gna ticket73: ok gna ticket74: ok gna ticket75: ok gna ticket76: ok gna ticket77: ok gna ticket78: ok gna ticket79: ok gna ticket81: ok gna ticket83: ok gna ticket84: ok gna ticket86: ok gna ticket87: ok gna ticket88: ok gna ticket9: ok gna ticket90: ok gna ticket92: ok gna ticket93: ok gna ticket94: ok gna ticket95: ok gna ticket96: ok gna ticket97: ok gna ticket98: ok gna tests are successful [GHDL - test] vests Vests is OK 29120 vests.log [GHDL - test] synth ..Running with 4 test workers. synth issue1170: ok synth issue1172: ok synth issue1175: ok synth issue1176: ok synth issue1899: ok synth issue1903: ok synth issue1177: ok synth issue1178: ok synth issue1179: ok synth issue2667: ok synth issue2678: ok synth issue1180: ok synth issue2679: ok synth issue1181: ok synth issue1182: ok synth issue1186: ok synth issue2682: ok synth issue1909: ok synth issue1911: ok synth issue1912: ok synth issue1920: ok synth issue1190: ok synth issue1926: ok synth issue1936: ok synth issue1938: ok synth issue1942: ok synth issue1944: ok synth aggr01: ok synth issue1193: ok synth issue1197: ok synth issue2683: ok synth issue2692: ok synth issue1198: ok synth issue1199: ok synth issue1207: ok synth issue1208: ok synth issue1209: ok synth issue1210: ok synth issue1945: ok synth issue2713: ok synth issue2717: ok synth issue1947: ok synth issue1948: ok synth issue1949: ok synth issue2740: ok synth issue2742: ok synth issue2743: ok synth aggr02: ok synth issue1211: ok synth issue1212: ok synth aggr03: ok synth issue2745: ok synth issue2746: ok synth issue2747: ok synth issue2750: ok synth issue2751: ok synth issue2753: ok synth issue2755: ok synth issue1217: ok synth issue2766: ok synth issue2774: ok synth issue2782: ok synth issue1218: ok synth issue2786: ok synth issue2798: ok synth issue2824: ok synth issue2826: ok synth issue2827: ok synth issue2834: ok synth anon01: ok synth issue1951: ok synth issue1961: ok synth issue1219: ok synth issue1962: ok synth issue2850: ok synth issue1968: ok synth issue1971: ok synth issue1972: ok synth issue1977: ok synth issue1978: ok synth issue1986: ok synth issue2865: ok synth issue1991: ok synth issue1993: ok synth issue2002: ok synth issue2010: ok synth issue1220: ok synth issue1221: ok synth issue2011: ok synth issue1225: ok synth issue1230: ok synth issue1231: ok synth issue1234: ok synth issue1236: ok synth issue1237: ok synth issue1238: ok synth issue1239: ok synth issue1240: ok synth issue2013: ok synth issue2019: ok synth issue2021: ok synth issue2025: ok synth issue2032: ok synth issue2033: ok synth issue2034: ok synth issue2035: ok synth issue2041: ok synth issue2042: ok synth issue1241: ok synth issue1242: ok synth issue1243: ok synth issue1250: ok synth issue1251: ok synth issue1253: ok synth issue1254: ok synth arr01: ok synth issue2043: ok synth issue2045: ok synth issue1258: ok synth issue1264: ok synth issue1265: ok synth issue1266: ok synth issue1267: ok synth issue1271: ok synth issue2046: ok synth issue1272: ok synth issue2049: ok synth issue2053: ok synth issue2054: ok synth issue2062: ok synth issue2063: ok synth arr02: ok synth issue2072: ok synth arr03: ok synth issue2073: ok synth issue1273: ok synth issue2074: ok synth issue1277: ok synth issue1280: ok synth issue1282: ok synth issue1283: ok synth issue1288: ok synth issue1292: ok synth issue1294: ok synth issue1295: ok synth issue2077: ok synth issue1298: ok synth issue1302: ok synth issue1307: ok synth issue1310: ok synth issue2080: ok synth issue2081: ok synth issue2083: ok synth issue2084: ok synth issue2085: ok synth issue2086: ok synth issue2088: ok synth issue2089: ok synth issue2090: ok synth issue2092: ok synth issue2099: ok synth issue2109: ok synth issue2113: ok synth issue2119: ok synth issue2123: ok synth issue1311: ok synth issue2124: ok synth issue1313: ok synth issue1314: ok synth issue1316: ok synth issue1317: ok synth issue1318: ok synth issue2125: ok synth issue2126: ok synth issue2129: ok synth issue2139: ok synth issue2140: ok synth issue2142: ok synth issue2143: ok synth issue2144: ok synth issue2145: ok synth issue2146: ok synth issue2149: ok synth issue2159: ok synth issue1319: ok synth asgn01: ok synth assertassumes0: ok synth assign01: ok synth assumeasserts0: ok synth issue1321: ok synth attr01: ok synth issue2169: ok synth issue2176: ok synth issue1322: ok synth issue1324: ok synth issue2177: ok synth issue2187: ok synth issue2204: ok synth issue2205: ok synth issue2214: ok synth issue2222: ok synth issue2224: ok synth issue1325: ok synth issue2231: ok synth issue2232: ok synth issue2234: ok synth attr02: ok synth issue1330: ok synth blackbox01: ok synth blackbox02: ok synth issue1332: ok synth issue1333: ok synth issue1342: ok synth issue1345: ok synth block01: ok synth bug01: ok synth bug02: ok synth bug04: ok synth issue1348: ok synth issue1366: ok synth case01: ok synth issue1367: ok synth issue1372: ok synth issue1387: ok synth issue2237: ok synth issue2240: ok synth issue2266: ok synth issue2270: ok synth issue1390: ok synth issue1406: ok synth issue1407: ok synth issue2273: ok synth issue1408: ok synth issue2876: ok synth issue1413: ok synth issue1414: ok synth case02: ok synth issue1417: ok synth issue2279: ok synth issue1421: ok synth issue2285: ok synth issue1424: ok synth issue1426: ok synth issue1428: ok synth issue1430: ok synth issue412: ok synth issue1442: ok synth issue1454: ok synth issue2286: ok synth cnt01: ok synth issue2327: ok synth comp01: ok synth issue2328: ok synth issue2330: ok synth issue2334: ok synth issue2339: ok synth issue2347: ok synth issue2361: ok synth issue2369: ok synth issue2372: ok synth issue2390: ok synth issue2399: ok synth issue2408: ok synth issue2418: ok synth issue2428: ok synth comp02: ok synth comp03: ok synth issue662: ok synth issue872: ok synth issue882: ok synth issue936: ok synth issue2436: ok synth issue2438: ok synth issue2444: ok synth issue2456: ok synth issue937: ok synth issue2461: ok synth issue2467: ok synth issue2474: ok synth issue2484: ok synth issue938: ok synth issue940: ok synth issue941: ok synth issue944: ok synth issue945: ok synth issue2504: ok synth issue946: ok synth issue947: ok synth issue948: ok synth issue2511: ok synth issue2513: ok synth issue2514: ok synth issue2521: ok synth issue2529: ok synth issue2537: ok synth issue2545: ok synth issue2551: ok synth issue2553: ok synth issue2599: ok synth issue2605: ok synth issue2606: ok synth issue2616: ok synth issue2634: ok synth issue2647: ok synth issue2658: ok synth issue951: ok synth issue953: ok synth issue954: ok synth comp04: ok synth issue955: ok synth issue956: ok synth issue958: ok synth concat01: ok synth concat02: ok synth issue960: ok synth issue962: ok synth const01: ok synth conv01: ok synth issue963: ok synth issue964: ok synth issue965: ok synth issue972: ok synth issue973: ok synth latch01: ok synth latch02: ok synth lib01: ok synth lit01: ok synth match01: ok synth dff01: ok synth issue1460: ok synth issue1475: ok synth issue1481: ok synth issue1503: ok synth issue1505: ok synth issue1509: ok synth issue1520: ok synth mem01: ok synth issue1531: ok synth issue1534: ok synth dff02: ok synth issue1536: ok synth issue1537: ok synth issue1540: ok synth issue1563: ok synth issue1572: ok synth issue1591: ok synth issue1596: ok synth issue1609: ok synth issue1628: ok synth issue1645: ok synth issue1650: ok synth issue1654: ok synth dff03: ok synth issue1658: ok synth dff04: ok synth issue1665: ok synth dff05: ok synth mem02: ok synth mem03: ok synth issue1675: ok synth issue1679: ok synth issue1680: ok synth issue1681: ok synth issue1685: ok synth issue1698: ok synth issue1703: ok synth dispin01: ok synth issue1731: ok synth issue1734: ok synth issue1780: ok synth issue1781: ok synth issue1832: ok synth issue1838: ok synth issue1859: ok synth issue1860: ok synth memdp01: ok synth issue1886: ok synth issue1889: ok synth dispout01: ok synth dispvhdl01: ok synth enum01: ok synth memmux01: ok synth exit01: ok synth external01: ok synth mixed1: ok synth module01: ok synth module02: ok synth null01: ok synth forgen01: ok synth fsm01: ok synth oper01: ok synth fsm02: ok synth fsm03: ok synth oper02: ok synth func01: ok synth output01: ok synth param01: ok synth physical01: ok synth func02: ok synth pkg01: ok synth plugin200: ok synth func03: ok synth gen01: ok synth generate01: ok synth pragma01: ok synth psl01: ok synth psl02: ok synth range01: ok synth iassoc01: ok synth rec01: ok synth if01: ok synth if02: ok synth if03: ok synth initial01: ok synth ret01: ok synth simple01: ok synth insert01: ok synth int01: ok synth issue1002: ok synth slice01: ok synth issue1004: ok synth issue1005: ok synth issue1006: ok synth issue1007: ok synth issue1008: ok synth issue1009: ok synth issue1011: ok synth slice02: ok synth issue1014: ok synth issue1018: ok synth issue1021: ok synth issue1023: ok synth issue1025: ok synth issue1032: ok synth issue1033: ok synth issue1034: ok synth issue1035: ok synth issue1036: ok synth issue1039: ok synth issue1041: ok synth issue1042: ok synth issue1043: ok synth issue1044: ok synth issue1046: ok synth issue1047: ok synth issue1050: ok synth issue1054: ok synth issue1058: ok synth issue1062: ok synth issue1064: ok synth issue1068: ok synth sns01: ok synth issue1069: ok synth issue1074: ok synth issue1075: ok synth issue1076: ok synth issue1077: ok synth issue1078: ok synth issue1079: ok synth issue1080: ok synth issue1081: ok synth issue1082: ok synth issue1083: ok synth issue1086: ok synth issue1090: ok synth issue1095: ok synth issue1096: ok synth issue1099: ok synth issue1100: ok synth issue1101: ok synth snsuns01: ok synth issue1107: ok synth issue1109: ok synth issue1113: ok synth stmt01: ok synth issue1114: ok synth issue1116: ok synth string01: ok synth issue1117: ok synth subprg01: ok synth synth104: ok synth synth108: ok synth synth110: ok synth synth111: ok synth synth115: ok synth synth12: ok synth synth128: ok synth issue1122: ok synth synth129: ok synth synth14: ok synth synth154: ok synth synth174: ok synth synth179: ok synth synth180: ok synth synth183: ok synth synth188: ok synth synth26: ok synth synth27: ok synth synth33: ok synth synth34: ok synth synth36: ok synth synth38: ok synth synth39: ok synth synth40: ok synth synth44: ok synth synth45: ok synth synth47: ok synth synth48: ok synth synth50: ok synth synth52: ok synth synth56: ok synth synth58: ok synth synth60: ok synth synth76: ok synth synth8: ok synth synth80: ok synth synth87: ok synth synth93: ok synth transoff01: ok synth uassoc01: ok synth unary01: ok synth var01: ok synth var02: ok synth issue1126: ok synth issue1127: ok synth issue1130: ok synth issue1132: ok synth issue1133: ok synth issue1139: ok synth issue1140: ok synth issue1144: ok synth issue1146: ok synth issue1148: ok synth issue1153: ok synth issue1155: ok synth issue1157: ok synth issue1158: ok synth issue1159: ok synth issue1160: ok synth issue1161: ok synth issue1162: ok synth issue1163: ok synth issue1164: ok synth issue1165: ok synth issue1166: ok synth issue1167: ok synth issue1168: ok synth issue1169: ok synth tests are successful [GHDL - test] vpi ..Running with 4 test workers. vpi issue2856: ok vpi vpi007: ok vpi vpi003: ok vpi vpi011: ok vpi issue2874: ok vpi vpi008: ok vpi vpi004: ok vpi vpi001: ok vpi vpi009: ok vpi vpi005: ok vpi vpi010: ok vpi vpi002: ok vpi vpi006: ok vpi tests are successful [GHDL - test] vhpi ..Running with 4 test workers. vhpi 001load_lib: ok vhpi 005trace_file: ok vhpi 003load_multi_lib: ok vhpi 002load_entrypoint: ok vhpi 004trace_stdout: ok vhpi tests are successful [GHDL - test] SUCCESSFUL touch /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/stamps/test-llvm dh override_dh_auto_test make[1]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg' create-stamp debian/debhelper-build-stamp dh_prep -a debian/rules override_dh_auto_install make[1]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg' dh_testdir ------------------------------------------------------------ Installing with gcc backend ------------------------------------------------------------ # Place a symlink for the binary ahead of time so that the compilation # of the VHDL standard libraries works during the gcc install step. The # binary is properly moved afterwards. mkdir -p /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/bin ln -sf ../lib/ghdl/gcc/bin/ghdl-gcc /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/bin/ghdl-gcc /usr/bin/make -C /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build install DESTDIR=/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' make[3]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' /bin/bash ../src/mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/fixincludes' rm -rf /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools /bin/bash ../../src/fixincludes/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include /usr/bin/install -c -m 644 ../../src/fixincludes/README-fixinc \ /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include/README /usr/bin/install -c fixinc.sh /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools/fixinc.sh /usr/bin/install -c fixincl /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools/fixincl /usr/bin/install -c mkheaders /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools/mkheaders make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/fixincludes' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' /usr/bin/mkdir -p '/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14' /bin/bash ./libtool --tag=disable-static --mode=install /usr/bin/install -c liblto_plugin.la '/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14' libtool: install: /usr/bin/install -c .libs/liblto_plugin.so /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so libtool: install: /usr/bin/install -c .libs/liblto_plugin.lai /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14' make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/lto-plugin' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc' gnatmake -v -j8 -R -eS -c -aI../../src/gcc/vhdl --subdirs=vhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: objects up to date. gnatmake -v -j8 -R -eS -o ghdl1 -aI../../src/gcc/vhdl -aOvhdl ortho_gcc-main \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie attribs.o vhdl/ortho-lang.o vhdl/grt-cstdio.o vhdl/grt-cdynload.o \ libbackend.a libcommon-target.a libcommon.a ../libcpp/libcpp.a ../libdecnumber/libdecnumber.a libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a -lisl -lmpc -lmpfr -lgmp -rdynamic -lz GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl1" final executable "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc-main.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_gcc_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_ident.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_front.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/translation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/ortho_nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap1.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap12.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap3.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap4.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap7.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-coverage.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-helpers2.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-rtis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap5.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap6.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap9.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap8.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-chap14.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans-foreach_non_composite.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/trans_analyzes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1" up to date. gnatmake -v -j8 -R -eS -o ghdl -aI../../src/gcc/vhdl -aI../../src/gcc/vhdl/ghdldrv \ -aIvhdl -aOvhdl ghdl_gcc \ -cargs -g -O2 -gnata -gnaty3befhkmr -gnatwa -gnatwC \ -bargs -E \ -largs --LINK=powerpc64le-linux-gnu-g++-14 -no-pie -g -O2 -DIN_GCC -fno-exceptions -fno-rtti -fasynchronous-unwind-tables -W -Wall -Wno-narrowing -Wwrite-strings -Wcast-qual -Wmissing-format-attribute -Wconditionally-supported -Woverloaded-virtual -pedantic -Wno-long-long -Wno-variadic-macros -Wno-overlength-strings -DHAVE_CONFIG_H -no-pie \ vhdl/grt-cstdio.o vhdl/grt-cdynload.o libcommon.a ../libcpp/libcpp.a ../libbacktrace/.libs/libbacktrace.a ../libiberty/libiberty.a ../libdecnumber/libdecnumber.a GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl" final executable "ghdl_gcc.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/files_map.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/filesystem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/libraries.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/options.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/simple_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-configuration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_package.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/version.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/std_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-scanner.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_lib.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/bug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/errorout-console.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_insts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synthesis.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-elaborate.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-parse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-scans.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_instances.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-vpi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/str_table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/logging.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_objtypes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/file_comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/outputs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-dump_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-back_end.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-evaluation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_walk.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_scopes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-prints.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-priorities.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-fcvt.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-nodes_gc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-post_sems.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-build.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nfas-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-rewrites.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-canon_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_inst.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_specs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-elocations_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/utils_io.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-comments.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-parse_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_annotations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-dump.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-context.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-flags.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_foreign.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/areapools.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-memtype.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/dyn_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/interning.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-builders.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-locations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_context.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_elaboration.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_exprs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_sources.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_values.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/types_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-allocates.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bignums.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_verilog.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-storages.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-algos.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-concats.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-folds.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-source.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_oper.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-math_real.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_values-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-cleanup.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-expands.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-memories.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-tokens.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-standard.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-bn_tables.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-macros.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-resolve_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sem_upwards.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-astdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-stdio.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/name_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-executions.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-simulation.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/mutils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-c.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_meta.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-hash.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/lists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-to_strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-vhdl_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_eval.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-nodes_priv.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/flists.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-numeric_std_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_misc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-std_logic_unsigned.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-ieee-vital_timing.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-std_env.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_assocs.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_decls.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_expr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_names.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_psl.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_stmts.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sem_types.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-cse.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-disp_nfas.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-optimize.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-qm.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/debuggers.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_context-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-iterators.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-gates_ports.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-internings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-severity.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-dynload.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_heap.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-rstrings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-strings.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-files_operations.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_aggr.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/elab-vhdl_prot.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/psl-subsets.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-vhdl_static_proc.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-environment-debug.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-verilog_errors.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-abi.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_arrays.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_classes.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_maps.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-sv_queues.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-disp_tree.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/netlists-butils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/verilog-debugger.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-numeric_std.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_1164.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-std_logic_arith.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/vhdl-sensitivity_checks.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-table.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/synth-ieee-utils.ali" being checked ... "/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc/vhdl/grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl" up to date. /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14 /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14 /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/include mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/include /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1 /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7 /usr/bin/install -c lto-dump \ /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-lto-dump-14 mkdir /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin mkdir: cannot create directory ‘/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:175: vhdl.install-common] Error 1 (ignored) rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc /usr/bin/install -c ghdl /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/ghdl-gcc mkdir /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib mkdir: cannot create directory ‘/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib’: File exists make[4]: [../../src/gcc/vhdl/Make-lang.in:178: vhdl.install-common] Error 1 (ignored) mkdir /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/ghdl /usr/bin/install -c -m 644 ../libbacktrace/.libs/libbacktrace.a /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/ghdl for file in gnat1 cc1 cc1plus d21 f951 go1 lto1 cc1gm2 cc1obj cc1objplus crab1 ghdl1; do \ if [ -f $file ] ; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/$file; \ /usr/bin/install -c $file /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/$file; \ else true; \ fi; \ done for file in collect2 ..; do \ if [ x"$file" != x.. ]; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/$file; \ /usr/bin/install -c $file /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/$file; \ else true; fi; \ done rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/specs if test "" != "yes" ; then \ if [ -f gcov ]; \ then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-14; \ /usr/bin/install -c gcov /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-14; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-tool ]; \ then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-tool-14; \ /usr/bin/install -c \ gcov-tool /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-tool-14; \ fi; \ fi if test "" != "yes" ; then \ if [ -f gcov-dump ]; \ then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-dump-14; \ /usr/bin/install -c \ gcov-dump /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcov-dump-14; \ fi; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include rm -rf /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed mkdir /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed chmod a+rx /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed (cd `${PWDCMD-pwd}`/include ; \ tar -cf - .; exit 0) | (cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include; tar xpf - ) (cd `${PWDCMD-pwd}`/include-fixed ; \ tar -cf - .; exit 0) | (cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed; tar xpf - ) files=`cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed; find . -type l -print 2>/dev/null`; \ if [ $? -eq 0 ]; then \ dir=`cd include-fixed; ${PWDCMD-pwd}`; \ for i in $files; do \ dest=`ls -ld /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed/$i | sed -n 's/.*-> //p'`; \ if expr "$dest" : "$dir.*" > /dev/null; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed/$i; \ ln -s `echo $i | sed "s|/[^/]*|/..|g" | sed 's|/..$||'``echo "$dest" | sed "s|$dir||"` /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include-fixed/$i; \ fi; \ done; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h \ /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/gsyslimits.h /usr/bin/install -c -m 644 macro_list /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/macro_list /usr/bin/install -c -m 644 fixinc_list /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/fixinc_list set -e; for ml in `cat fixinc_list`; do \ multi_dir=`echo ${ml} | sed -e 's/^[^;]*;//'`; \ /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include${multi_dir}; \ /usr/bin/install -c -m 644 include${multi_dir}/limits.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/include${multi_dir}/limits.h; \ done /usr/bin/install -c ../../src/gcc/../mkinstalldirs \ /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/install-tools/mkinstalldirs ; \ sysroot_headers_suffix='${sysroot_headers_suffix}'; \ echo 'SYSTEM_HEADER_DIR="'"`echo /usr/include | sed -e :a -e 's,[^/]*/\.\.\/,,' -e ta`"'"' \ > /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/mkheaders.conf echo 'OTHER_FIXINCLUDES_DIRS=""' \ >> /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/mkheaders.conf echo 'STMP_FIXINC="stmp-fixinc"' \ >> /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/install-tools/mkheaders.conf if test "" != "yes" ; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-cpp-14; \ /usr/bin/install -c -m 755 cpp /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-cpp-14; \ if [ x != x ]; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc//powerpc64le-linux-gnu-cpp-14; \ /usr/bin/install -c -m 755 cpp /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc//powerpc64le-linux-gnu-cpp-14; \ else true; fi; \ fi rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 /usr/bin/install -c -m 644 ../../src/gcc/vhdl/ghdl.1 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/ghdl.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcc-14.1 /usr/bin/install -c -m 644 doc/gcc.1 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcc-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcc-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-cpp-14.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/cpp.1 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-cpp-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-cpp-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-14.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov.1 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-tool-14.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-tool.1 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-tool-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-tool-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-dump-14.1 /usr/bin/install -c -m 644 ../../src/gcc/doc/gcov-dump.1 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-dump-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-gcov-dump-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-lto-dump-14.1 /usr/bin/install -c -m 644 doc/lto-dump.1 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-lto-dump-14.1 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man1/powerpc64le-linux-gnu-lto-dump-14.1 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/fsf-funding.7 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/fsf-funding.7 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gfdl.7 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gfdl.7 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 /usr/bin/install -c -m 644 ../../src/gcc/doc/gpl.7 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/man/man7/gpl.7 rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info if [ -f doc/ghdl.info ]; then \ for f in doc/ghdl.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/ghdl.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cpp.info if [ -f doc/cpp.info ]; then \ for f in doc/cpp.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cpp.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cpp.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gcc.info if [ -f doc/gcc.info ]; then \ for f in doc/gcc.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gcc.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gcc.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cppinternals.info if [ -f doc/cppinternals.info ]; then \ for f in doc/cppinternals.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cppinternals.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/cppinternals.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccinstall.info if [ -f doc/gccinstall.info ]; then \ for f in doc/gccinstall.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccinstall.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccinstall.info; \ else true; fi; \ else true; fi; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccint.info if [ -f doc/gccint.info ]; then \ for f in doc/gccint.info*; do \ realfile=`echo $f | sed -e 's|.*/\([^/]*\)$|\1|'`; \ /usr/bin/install -c -m 644 $f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ chmod a-x /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/$realfile; \ done; \ else true; fi if /bin/bash -c 'install-info --version' >/dev/null 2>&1; then \ if [ -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccint.info ]; then \ install-info --dir-file=/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/dir /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/info/gccint.info; \ else true; fi; \ else true; fi; /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share cats="po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/da.gmo po/de.gmo po/el.gmo po/es.gmo po/fi.gmo po/fr.gmo po/hr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/gcc/$cat ]; then cat=../../src/gcc/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp$dir; \ /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp$dir || exit 1; \ echo /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp$dir/gcc-14.mo; \ /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp$dir/gcc-14.mo; \ done /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES /usr/bin/install -c -m 644 po/be.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES /usr/bin/install -c -m 644 po/da.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES /usr/bin/install -c -m 644 po/de.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES /usr/bin/install -c -m 644 po/el.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES /usr/bin/install -c -m 644 po/es.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES /usr/bin/install -c -m 644 po/fi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES /usr/bin/install -c -m 644 po/fr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES /usr/bin/install -c -m 644 po/hr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/hr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES /usr/bin/install -c -m 644 po/id.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES /usr/bin/install -c -m 644 po/ja.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES /usr/bin/install -c -m 644 po/ka.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES /usr/bin/install -c -m 644 po/nl.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES /usr/bin/install -c -m 644 po/ru.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES /usr/bin/install -c -m 644 po/sr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES /usr/bin/install -c -m 644 po/sv.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES /usr/bin/install -c -m 644 po/tr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES /usr/bin/install -c -m 644 po/uk.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES /usr/bin/install -c -m 644 po/vi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_CN.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/gcc-14.mo /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES /usr/bin/install -c -m 644 po/zh_TW.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/gcc-14.mo rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcc-14 /usr/bin/install -c xgcc /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcc-14 if test "" != "yes" ; then \ if [ "powerpc64le-linux-gnu-gcc-14" != "powerpc64le-linux-gnu-gcc-14" ]; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcc-14; \ ( cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln powerpc64le-linux-gnu-gcc-14 powerpc64le-linux-gnu-gcc-14 ); \ fi; \ if [ ! -f gcc-cross ] \ && [ "powerpc64le-linux-gnu-gcc-14" != "powerpc64le-linux-gnu-powerpc64le-linux-gnu-gcc-14" ]; then \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/powerpc64le-linux-gnu-gcc-tmp; \ ( cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln powerpc64le-linux-gnu-gcc-14 powerpc64le-linux-gnu-gcc-tmp && \ mv -f powerpc64le-linux-gnu-gcc-tmp powerpc64le-linux-gnu-powerpc64le-linux-gnu-gcc-14 ); \ fi; \ fi /usr/bin/install -c lto-wrapper /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/lto-wrapper if test "" != "yes" ; then \ for i in gcc-ar gcc-nm gcc-ranlib; do \ install_name=`echo $i|sed 's&$&-14&;s&^&powerpc64le-linux-gnu-&'` ;\ target_install_name=powerpc64le-linux-gnu-`echo $i|sed 's&$&-14&;s&^&powerpc64le-linux-gnu-&'` ; \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/$install_name ; \ /usr/bin/install -c $i /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/$install_name ;\ if test -f gcc-cross; then \ :; \ else \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin/$target_install_name; \ ( cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/bin && \ ln $install_name $target_install_name ) ; \ fi ; \ done; \ fi /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin /usr/bin/install -c -m 644 gtype.state /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/gtype.state /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/plugin mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/plugin /usr/bin/install -c gengtype /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/plugin/gengtype /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include headers=`echo ../../src/gcc/../include/ansidecl.h ../../src/gcc/../include/filenames.h ../../src/gcc/../include/gomp-constants.h ../../src/gcc/../include/hashtab.h ../../src/gcc/../include/libiberty.h ../../src/gcc/../include/md5.h ../../src/gcc/../include/obstack.h ../../src/gcc/../include/plugin-api.h ../../src/gcc/../include/safe-ctype.h ../../src/gcc/../include/splay-tree.h ../../src/gcc/../libcpp/include/cpplib.h ../../src/gcc/../libcpp/include/line-map.h ../../src/gcc/../libcpp/include/rich-location.h ../../src/gcc/../libcpp/include/symtab.h ../../src/gcc/ada/gcc-interface/ada-tree.def ../../src/gcc/analyzer/*.h ../../src/gcc/c/c-tree.def ../../src/gcc/config/elfos.h ../../src/gcc/config/freebsd-spec.h ../../src/gcc/config/glibc-stdint.h ../../src/gcc/config/gnu-user.h ../../src/gcc/config/initfini-array.h ../../src/gcc/config/linux-protos.h ../../src/gcc/config/linux.h ../../src/gcc/config/rs6000/biarch64.h ../../src/gcc/config/rs6000/default64.h ../../src/gcc/config/rs6000/linux64.h ../../src/gcc/config/rs6000/option-defaults.h ../../src/gcc/config/rs6000/rs6000-cpus.def ../../src/gcc/config/rs6000/rs6000-modes.h ../../src/gcc/config/rs6000/rs6000-opts.h ../../src/gcc/config/rs6000/rs6000-protos.h ../../src/gcc/config/rs6000/rs6000.h ../../src/gcc/config/rs6000/secureplt.h ../../src/gcc/config/rs6000/sysv4.h ../../src/gcc/config/rs6000/sysv4le.h ../../src/gcc/config/vxworks-dummy.h ../../src/gcc/cp/cp-tree.def ../../src/gcc/d/d-tree.def ../../src/gcc/defaults.h ../../src/gcc/insn-addr.h ../../src/gcc/m2/m2-tree.def ../../src/gcc/objc/objc-tree.def alias.h align.h all-tree.def alloc-pool.h ansidecl.h attribs.h auto-host.h basic-block.h bitmap.h builtins.def bversion.h c-family/c-common.def c-family/c-common.h c-family/c-objc.h c-family/c-pragma.h c-family/c-pretty-print.h c/c-tree.h calls.h cfg-flags.def cfg.h cfganal.h cfgbuild.h cfgcleanup.h cfgexpand.h cfghooks.h cfgloop.h cfgloopmanip.h cfgrtl.h cgraph.h cif-code.def config.h config/elfos.h config/freebsd-spec.h config/glibc-stdint.h config/gnu-user.h config/initfini-array.h config/linux-protos.h config/linux.h config/rs6000/biarch64.h config/rs6000/default64.h config/rs6000/linux64.h config/rs6000/option-defaults.h config/rs6000/rs6000-protos.h config/rs6000/rs6000.h config/rs6000/secureplt.h config/rs6000/sysv4.h config/rs6000/sysv4le.h config/vxworks-dummy.h configargs.h context.h coretypes.h cppdefault.h debug.h defaults.h df.h diagnostic-color.h diagnostic-core.h diagnostic.def diagnostic.h dominance.h double-int.h dumpfile.h emit-rtl.h except.h expr.h file-prefix-map.h fixed-value.h flag-types.h flags.h fold-const-call.h fold-const.h function.h gcc-plugin.h gcc-symtab.h genrtl.h ggc.h gimple-builder.h gimple-expr.h gimple-fold.h gimple-iterator.h gimple-low.h gimple-pretty-print.h gimple-ssa.h gimple-walk.h gimple.def gimple.h gimplify-me.h gimplify.h gsstruct.def gtm-builtins.def gtype-desc.h hard-reg-set.h hash-map.h hash-set.h hash-table.h highlev-plugin-common.h hwint.h inchash.h incpath.h input.h insn-attr-common.h insn-attr.h insn-codes.h insn-config.h insn-constants.h insn-flags.h insn-modes-inline.h insn-modes.h insn-notes.def insn-opinit.h internal-fn.def internal-fn.h intl.h ipa-param-manipulation.h ipa-prop.h ipa-ref.h ipa-reference.h ipa-utils.h is-a.h langhooks.h lcm.h machmode.h memmodel.h mode-classes.def omp-builtins.def options.h opts.h output.h params-enum.h params.def params.h params.list pass-instances.def pass_manager.h plugin-version.h plugin.def plugin.h poly-int-types.h poly-int.h predict.def predict.h prefix.h pretty-print.h print-rtl.h print-tree.h profile-count.h real.h realmpfr.h reg-notes.def regset.h resource.h rtl.def rtl.h sanitizer.def sbitmap.h signop.h ssa-iterators.h statistics.h stmt.h stor-layout.h stringpool.h sync-builtins.def system.h target-hooks-macros.h target-insns.def target.def target.h timevar.def timevar.h tm-preds.h tm.h tm_p.h toplev.h tree-cfg.h tree-cfgcleanup.h tree-check.h tree-core.h tree-dfa.h tree-dump.h tree-eh.h tree-hasher.h tree-inline.h tree-into-ssa.h tree-iterator.h tree-nested.h tree-object-size.h tree-outof-ssa.h tree-parloops.h tree-pass.h tree-phinodes.h tree-pretty-print.h tree-ssa-address.h tree-ssa-alias.h tree-ssa-coalesce.h tree-ssa-dom.h tree-ssa-loop-ivopts.h tree-ssa-loop-manip.h tree-ssa-loop-niter.h tree-ssa-loop.h tree-ssa-operands.h tree-ssa-sccvn.h tree-ssa-ter.h tree-ssa-threadedge.h tree-ssa-threadupdate.h tree-ssa.h tree-ssanames.h tree.def tree.h treestruct.def varasm.h vec.h version.h wide-int-print.h wide-int.h $(cd ../../src/gcc; echo *.h *.def) | tr ' ' '\012' | sort -u`; \ srcdirstrip=`echo "../../src/gcc" | sed 's/[].[^$\\*|]/\\\\&/g'`; \ for file in $headers; do \ if [ -f $file ] ; then \ path=$file; \ elif [ -f ../../src/gcc/$file ]; then \ path=../../src/gcc/$file; \ else continue; \ fi; \ case $path in \ "../../src/gcc"/analyzer/* \ | "../../src/gcc"/config/* | "../../src/gcc"/common/config/* \ | "../../src/gcc"/c-family/* | "../../src/gcc"/*.def ) \ base=`echo "$path" | sed -e "s|$srcdirstrip/||"`;; \ *) base=`basename $path` ;; \ esac; \ dest=/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/$base; \ echo /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp$dest; \ dir=`dirname $dest`; \ /bin/bash ../../src/gcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp$dir; \ /usr/bin/install -c -m 644 $path /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp$dest; \ done /usr/bin/install -c -m 644 ../../src/gcc/../include/ansidecl.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ansidecl.h /usr/bin/install -c -m 644 ../../src/gcc/../include/filenames.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/filenames.h /usr/bin/install -c -m 644 ../../src/gcc/../include/gomp-constants.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gomp-constants.h /usr/bin/install -c -m 644 ../../src/gcc/../include/hashtab.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hashtab.h /usr/bin/install -c -m 644 ../../src/gcc/../include/libiberty.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/libiberty.h /usr/bin/install -c -m 644 ../../src/gcc/../include/md5.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/md5.h /usr/bin/install -c -m 644 ../../src/gcc/../include/obstack.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/obstack.h /usr/bin/install -c -m 644 ../../src/gcc/../include/plugin-api.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/plugin-api.h /usr/bin/install -c -m 644 ../../src/gcc/../include/safe-ctype.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/safe-ctype.h /usr/bin/install -c -m 644 ../../src/gcc/../include/splay-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/cpplib.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cpplib.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/line-map.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/line-map.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/rich-location.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rich-location.h /usr/bin/install -c -m 644 ../../src/gcc/../libcpp/include/symtab.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/symtab.h /usr/bin/install -c -m 644 ../../src/gcc/ada/gcc-interface/ada-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ada/gcc-interface/ada-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ada/gcc-interface /usr/bin/install -c -m 644 ../../src/gcc/analyzer/access-diagram.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/access-diagram.h mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer /usr/bin/install -c -m 644 ../../src/gcc/analyzer/analysis-plan.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/analysis-plan.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/analyzer-language.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/analyzer-language.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/analyzer-logging.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/analyzer-logging.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/analyzer-selftests.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/analyzer-selftests.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/analyzer.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/analyzer.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/bar-chart.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/bar-chart.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/call-details.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/call-details.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/call-info.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/call-info.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/call-string.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/call-string.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/call-summary.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/call-summary.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/checker-event.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/checker-event.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/checker-path.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/checker-path.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/complexity.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/complexity.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/constraint-manager.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/constraint-manager.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/diagnostic-manager.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/diagnostic-manager.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/engine.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/engine.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/exploded-graph.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/exploded-graph.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/feasible-graph.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/feasible-graph.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/function-set.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/function-set.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/inlining-iterator.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/inlining-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/known-function-manager.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/known-function-manager.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/pending-diagnostic.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/pending-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/program-point.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/program-point.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/program-state.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/program-state.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/ranges.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/ranges.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/reachability.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/reachability.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/record-layout.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/record-layout.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/region-model-manager.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/region-model-manager.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/region-model-reachability.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/region-model-reachability.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/region-model.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/region-model.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/region.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/region.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/sm.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/sm.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/state-purge.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/state-purge.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/store.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/store.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/supergraph.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/supergraph.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/svalue.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/svalue.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/symbol.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/symbol.h /usr/bin/install -c -m 644 ../../src/gcc/analyzer/trimmed-graph.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/analyzer/trimmed-graph.h /usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c/c-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/elfos.h mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config /usr/bin/install -c -m 644 ../../src/gcc/config/freebsd-spec.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/freebsd-spec.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/biarch64.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/biarch64.h mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000 /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/default64.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/default64.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/linux64.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/linux64.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/option-defaults.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/option-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000-cpus.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000-cpus.def /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000-modes.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000-modes.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000-opts.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000-opts.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000-protos.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/secureplt.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/secureplt.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/sysv4.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/sysv4.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/sysv4le.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/sysv4le.h /usr/bin/install -c -m 644 ../../src/gcc/config/vxworks-dummy.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/vxworks-dummy.h /usr/bin/install -c -m 644 ../../src/gcc/cp/cp-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cp/cp-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cp /usr/bin/install -c -m 644 ../../src/gcc/d/d-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/d/d-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/d /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-addr.h /usr/bin/install -c -m 644 ../../src/gcc/m2/m2-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/m2/m2-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/m2 /usr/bin/install -c -m 644 ../../src/gcc/objc/objc-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/objc/objc-tree.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/objc /usr/bin/install -c -m 644 ../../src/gcc/addresses.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/addresses.h /usr/bin/install -c -m 644 ../../src/gcc/alias.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/alias.h /usr/bin/install -c -m 644 ../../src/gcc/align.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/align.h /usr/bin/install -c -m 644 all-tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/all-tree.def /usr/bin/install -c -m 644 ../../src/gcc/alloc-pool.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/alloc-pool.h /usr/bin/install -c -m 644 ../../src/gcc/array-traits.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/array-traits.h /usr/bin/install -c -m 644 ../../src/gcc/asan.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/asan.h /usr/bin/install -c -m 644 ../../src/gcc/attr-fnspec.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/attr-fnspec.h /usr/bin/install -c -m 644 ../../src/gcc/attribs.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/attribs.h /usr/bin/install -c -m 644 auto-host.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/auto-host.h /usr/bin/install -c -m 644 ../../src/gcc/auto-profile.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/auto-profile.h /usr/bin/install -c -m 644 ../../src/gcc/backend.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/backend.h /usr/bin/install -c -m 644 ../../src/gcc/basic-block.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/basic-block.h /usr/bin/install -c -m 644 ../../src/gcc/bb-reorder.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/bb-reorder.h /usr/bin/install -c -m 644 ../../src/gcc/bitmap.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/bitmap.h /usr/bin/install -c -m 644 ../../src/gcc/builtin-attrs.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/builtin-attrs.def /usr/bin/install -c -m 644 ../../src/gcc/builtin-types.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/builtin-types.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/builtins.def /usr/bin/install -c -m 644 ../../src/gcc/builtins.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/builtins.h /usr/bin/install -c -m 644 bversion.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/bversion.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family/c-common.def mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-common.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family/c-common.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-objc.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family/c-objc.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pragma.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family/c-pragma.h /usr/bin/install -c -m 644 ../../src/gcc/c-family/c-pretty-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-family/c-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/c/c-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/c-tree.h /usr/bin/install -c -m 644 ../../src/gcc/calls.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/calls.h /usr/bin/install -c -m 644 ../../src/gcc/ccmp.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ccmp.h /usr/bin/install -c -m 644 ../../src/gcc/cfg-flags.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfg-flags.def /usr/bin/install -c -m 644 ../../src/gcc/cfg.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfg.h /usr/bin/install -c -m 644 ../../src/gcc/cfganal.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfganal.h /usr/bin/install -c -m 644 ../../src/gcc/cfgbuild.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgbuild.h /usr/bin/install -c -m 644 ../../src/gcc/cfgcleanup.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgcleanup.h /usr/bin/install -c -m 644 ../../src/gcc/cfgexpand.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgexpand.h /usr/bin/install -c -m 644 ../../src/gcc/cfghooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfghooks.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloop.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgloop.h /usr/bin/install -c -m 644 ../../src/gcc/cfgloopmanip.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgloopmanip.h /usr/bin/install -c -m 644 ../../src/gcc/cfgrtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cfgrtl.h /usr/bin/install -c -m 644 ../../src/gcc/cgraph.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cgraph.h /usr/bin/install -c -m 644 ../../src/gcc/cif-code.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cif-code.def /usr/bin/install -c -m 644 ../../src/gcc/collect-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/collect-utils.h /usr/bin/install -c -m 644 ../../src/gcc/collect2-aix.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/collect2-aix.h /usr/bin/install -c -m 644 ../../src/gcc/collect2.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/collect2.h /usr/bin/install -c -m 644 ../../src/gcc/color-macros.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/color-macros.h /usr/bin/install -c -m 644 ../../src/gcc/conditions.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/conditions.h /usr/bin/install -c -m 644 config.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config.h /usr/bin/install -c -m 644 ../../src/gcc/config/elfos.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/elfos.h /usr/bin/install -c -m 644 ../../src/gcc/config/freebsd-spec.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/freebsd-spec.h /usr/bin/install -c -m 644 ../../src/gcc/config/glibc-stdint.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/glibc-stdint.h /usr/bin/install -c -m 644 ../../src/gcc/config/gnu-user.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/gnu-user.h /usr/bin/install -c -m 644 ../../src/gcc/config/initfini-array.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/initfini-array.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux-protos.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/linux-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/linux.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/linux.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/biarch64.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/biarch64.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/default64.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/default64.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/linux64.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/linux64.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/option-defaults.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/option-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000-protos.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000-protos.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/rs6000.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/rs6000.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/secureplt.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/secureplt.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/sysv4.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/sysv4.h /usr/bin/install -c -m 644 ../../src/gcc/config/rs6000/sysv4le.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/rs6000/sysv4le.h /usr/bin/install -c -m 644 ../../src/gcc/config/vxworks-dummy.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/config/vxworks-dummy.h /usr/bin/install -c -m 644 configargs.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/configargs.h /usr/bin/install -c -m 644 ../../src/gcc/context.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/context.h /usr/bin/install -c -m 644 ../../src/gcc/convert.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/convert.h /usr/bin/install -c -m 644 ../../src/gcc/coretypes.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/coretypes.h /usr/bin/install -c -m 644 ../../src/gcc/coroutine-builtins.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/coroutine-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/coverage.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/coverage.h /usr/bin/install -c -m 644 ../../src/gcc/cppbuiltin.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cppbuiltin.h /usr/bin/install -c -m 644 ../../src/gcc/cppdefault.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cppdefault.h /usr/bin/install -c -m 644 ../../src/gcc/cselib.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/cselib.h /usr/bin/install -c -m 644 ../../src/gcc/ctfc.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ctfc.h /usr/bin/install -c -m 644 ../../src/gcc/data-streamer.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/data-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dbgcnt.def /usr/bin/install -c -m 644 ../../src/gcc/dbgcnt.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dbgcnt.h /usr/bin/install -c -m 644 ../../src/gcc/dce.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dce.h /usr/bin/install -c -m 644 ../../src/gcc/ddg.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ddg.h /usr/bin/install -c -m 644 ../../src/gcc/debug.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/debug.h /usr/bin/install -c -m 644 ../../src/gcc/defaults.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/defaults.h /usr/bin/install -c -m 644 ../../src/gcc/df.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/df.h /usr/bin/install -c -m 644 ../../src/gcc/dfp.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dfp.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-client-data-hooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-client-data-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-color.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-color.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-core.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-core.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-diagram.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-diagram.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-event-id.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-event-id.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-format-sarif.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-format-sarif.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-metadata.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-metadata.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-path.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-path.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-spec.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-spec.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic-url.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic-url.h /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic.def /usr/bin/install -c -m 644 ../../src/gcc/diagnostic.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/digraph.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/digraph.h /usr/bin/install -c -m 644 ../../src/gcc/distro-defaults.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/distro-defaults.h /usr/bin/install -c -m 644 ../../src/gcc/dojump.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dojump.h /usr/bin/install -c -m 644 ../../src/gcc/dominance.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dominance.h /usr/bin/install -c -m 644 ../../src/gcc/domwalk.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/domwalk.h /usr/bin/install -c -m 644 ../../src/gcc/double-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/double-int.h /usr/bin/install -c -m 644 ../../src/gcc/dump-context.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dump-context.h /usr/bin/install -c -m 644 ../../src/gcc/dumpfile.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dumpfile.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2asm.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dwarf2asm.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2ctf.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dwarf2ctf.h /usr/bin/install -c -m 644 ../../src/gcc/dwarf2out.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/dwarf2out.h /usr/bin/install -c -m 644 ../../src/gcc/edit-context.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/edit-context.h /usr/bin/install -c -m 644 ../../src/gcc/emit-rtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/emit-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/errors.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/errors.h /usr/bin/install -c -m 644 ../../src/gcc/escaped_string.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/escaped_string.h /usr/bin/install -c -m 644 ../../src/gcc/et-forest.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/et-forest.h /usr/bin/install -c -m 644 ../../src/gcc/except.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/except.h /usr/bin/install -c -m 644 ../../src/gcc/explow.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/explow.h /usr/bin/install -c -m 644 ../../src/gcc/expmed.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/expmed.h /usr/bin/install -c -m 644 ../../src/gcc/expr.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/expr.h /usr/bin/install -c -m 644 ../../src/gcc/fibonacci_heap.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/fibonacci_heap.h /usr/bin/install -c -m 644 ../../src/gcc/file-find.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/file-find.h /usr/bin/install -c -m 644 ../../src/gcc/file-prefix-map.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/file-prefix-map.h /usr/bin/install -c -m 644 ../../src/gcc/fixed-value.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/fixed-value.h /usr/bin/install -c -m 644 ../../src/gcc/flag-types.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/flag-types.h /usr/bin/install -c -m 644 ../../src/gcc/flags.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/flags.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const-call.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/fold-const-call.h /usr/bin/install -c -m 644 ../../src/gcc/fold-const.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/fold-const.h /usr/bin/install -c -m 644 ../../src/gcc/function-abi.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/function-abi.h /usr/bin/install -c -m 644 ../../src/gcc/function.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/function.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-plugin.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc-plugin.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-rich-location.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc-rich-location.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-symtab.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc-symtab.h /usr/bin/install -c -m 644 ../../src/gcc/gcc-urlifier.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc-urlifier.def /usr/bin/install -c -m 644 ../../src/gcc/gcc-urlifier.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc-urlifier.h /usr/bin/install -c -m 644 ../../src/gcc/gcc.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcc.h /usr/bin/install -c -m 644 ../../src/gcc/gcov-counter.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcov-counter.def /usr/bin/install -c -m 644 ../../src/gcc/gcov-io.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcov-io.h /usr/bin/install -c -m 644 ../../src/gcc/gcse-common.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcse-common.h /usr/bin/install -c -m 644 ../../src/gcc/gcse.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gcse.h /usr/bin/install -c -m 644 ../../src/gcc/generic-match.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/generic-match.h /usr/bin/install -c -m 644 ../../src/gcc/gengtype.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gengtype.h /usr/bin/install -c -m 644 genrtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/genrtl.h /usr/bin/install -c -m 644 ../../src/gcc/gensupport.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gensupport.h /usr/bin/install -c -m 644 ../../src/gcc/ggc-internal.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ggc-internal.h /usr/bin/install -c -m 644 ../../src/gcc/ggc.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ggc.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-array-bounds.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-array-bounds.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-builder.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-builder.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-expr.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-expr.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-fold.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-iterator.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-low.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-low.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-lower-bitint.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-lower-bitint.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-match.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-match.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predicate-analysis.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-predicate-analysis.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-predict.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-predict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-pretty-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-cache.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-cache.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-edge.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-edge.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-fold.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-fold.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-gori.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-gori.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-infer.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-infer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-op.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-op.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-path.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-path.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-phi.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-phi.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range-trace.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range-trace.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-range.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-range.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-access.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-ssa-warn-access.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa-warn-restrict.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-ssa-warn-restrict.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-streamer.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/gimple-walk.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple-walk.h /usr/bin/install -c -m 644 ../../src/gcc/gimple.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple.def /usr/bin/install -c -m 644 ../../src/gcc/gimple.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimple.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify-me.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimplify-me.h /usr/bin/install -c -m 644 ../../src/gcc/gimplify.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gimplify.h /usr/bin/install -c -m 644 ../../src/gcc/glimits.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/glimits.h /usr/bin/install -c -m 644 ../../src/gcc/graph.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/graph.h /usr/bin/install -c -m 644 ../../src/gcc/graphds.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/graphds.h /usr/bin/install -c -m 644 ../../src/gcc/graphite.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/graphite.h /usr/bin/install -c -m 644 ../../src/gcc/graphviz.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/graphviz.h /usr/bin/install -c -m 644 ../../src/gcc/gsstruct.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gsstruct.def /usr/bin/install -c -m 644 ../../src/gcc/gsyms.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gsyms.h /usr/bin/install -c -m 644 ../../src/gcc/gsyslimits.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gsyslimits.h /usr/bin/install -c -m 644 ../../src/gcc/gtm-builtins.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gtm-builtins.def /usr/bin/install -c -m 644 gtype-desc.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/gtype-desc.h /usr/bin/install -c -m 644 ../../src/gcc/hard-reg-set.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hard-reg-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map-traits.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hash-map-traits.h /usr/bin/install -c -m 644 ../../src/gcc/hash-map.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/hash-set.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hash-set.h /usr/bin/install -c -m 644 ../../src/gcc/hash-table.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hash-table.h /usr/bin/install -c -m 644 ../../src/gcc/hash-traits.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/highlev-plugin-common.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/highlev-plugin-common.h /usr/bin/install -c -m 644 ../../src/gcc/hooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hooks.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks-def.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hosthooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/hosthooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hosthooks.h /usr/bin/install -c -m 644 ../../src/gcc/hw-doloop.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hw-doloop.h /usr/bin/install -c -m 644 ../../src/gcc/hwint.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/hwint.h /usr/bin/install -c -m 644 ../../src/gcc/ifcvt.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ifcvt.h /usr/bin/install -c -m 644 ../../src/gcc/inchash.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/inchash.h /usr/bin/install -c -m 644 ../../src/gcc/incpath.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/incpath.h /usr/bin/install -c -m 644 ../../src/gcc/input.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/input.h /usr/bin/install -c -m 644 ../../src/gcc/insn-addr.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-addr.h /usr/bin/install -c -m 644 insn-attr-common.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-attr-common.h /usr/bin/install -c -m 644 insn-attr.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-attr.h /usr/bin/install -c -m 644 insn-codes.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-codes.h /usr/bin/install -c -m 644 insn-config.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-config.h /usr/bin/install -c -m 644 insn-constants.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-constants.h /usr/bin/install -c -m 644 insn-flags.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-flags.h /usr/bin/install -c -m 644 insn-modes-inline.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-modes-inline.h /usr/bin/install -c -m 644 insn-modes.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-modes.h /usr/bin/install -c -m 644 ../../src/gcc/insn-notes.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-notes.def /usr/bin/install -c -m 644 insn-opinit.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/insn-opinit.h /usr/bin/install -c -m 644 ../../src/gcc/int-vector-builder.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/int-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/internal-fn.def /usr/bin/install -c -m 644 ../../src/gcc/internal-fn.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/internal-fn.h /usr/bin/install -c -m 644 ../../src/gcc/intl.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/intl.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-cp.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-cp.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-fnsummary.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-fnsummary.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf-gimple.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-icf-gimple.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-icf.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-icf.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-inline.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-inline.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-modref-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-modref.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-modref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-param-manipulation.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-param-manipulation.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-predicate.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-predicate.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-prop.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-prop.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-ref.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-ref.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-reference.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-reference.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-strub.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-strub.h /usr/bin/install -c -m 644 ../../src/gcc/ipa-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ipa-utils.h /usr/bin/install -c -m 644 ../../src/gcc/ira-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ira-int.h /usr/bin/install -c -m 644 ../../src/gcc/ira.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ira.h /usr/bin/install -c -m 644 ../../src/gcc/is-a.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/is-a.h /usr/bin/install -c -m 644 ../../src/gcc/iterator-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/iterator-utils.h /usr/bin/install -c -m 644 ../../src/gcc/json.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/json.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks-def.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/langhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/langhooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/langhooks.h /usr/bin/install -c -m 644 ../../src/gcc/lcm.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lcm.h /usr/bin/install -c -m 644 ../../src/gcc/libfuncs.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/limitx.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/limitx.h /usr/bin/install -c -m 644 ../../src/gcc/limity.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/limity.h /usr/bin/install -c -m 644 ../../src/gcc/logical-location.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/loop-unroll.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/loop-unroll.h /usr/bin/install -c -m 644 ../../src/gcc/lower-subreg.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lower-subreg.h /usr/bin/install -c -m 644 ../../src/gcc/lra-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lra-int.h /usr/bin/install -c -m 644 ../../src/gcc/lra.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lra.h /usr/bin/install -c -m 644 ../../src/gcc/lto-compress.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lto-compress.h /usr/bin/install -c -m 644 ../../src/gcc/lto-section-names.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lto-section-names.h /usr/bin/install -c -m 644 ../../src/gcc/lto-streamer.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/lto-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/machmode.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/machmode.def /usr/bin/install -c -m 644 ../../src/gcc/machmode.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/machmode.h /usr/bin/install -c -m 644 ../../src/gcc/make-unique.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/make-unique.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats-traits.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/mem-stats-traits.h /usr/bin/install -c -m 644 ../../src/gcc/mem-stats.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/mem-stats.h /usr/bin/install -c -m 644 ../../src/gcc/memmodel.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/memmodel.h /usr/bin/install -c -m 644 ../../src/gcc/memory-block.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/memory-block.h /usr/bin/install -c -m 644 ../../src/gcc/mode-classes.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/mode-classes.def /usr/bin/install -c -m 644 ../../src/gcc/mux-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/mux-utils.h /usr/bin/install -c -m 644 ../../src/gcc/obstack-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/obstack-utils.h /usr/bin/install -c -m 644 ../../src/gcc/omp-api.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-api.h /usr/bin/install -c -m 644 ../../src/gcc/omp-builtins.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/omp-expand.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-expand.h /usr/bin/install -c -m 644 ../../src/gcc/omp-general.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-general.h /usr/bin/install -c -m 644 ../../src/gcc/omp-low.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-low.h /usr/bin/install -c -m 644 ../../src/gcc/omp-offload.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-offload.h /usr/bin/install -c -m 644 ../../src/gcc/omp-selectors.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-selectors.h /usr/bin/install -c -m 644 ../../src/gcc/omp-simd-clone.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/omp-simd-clone.h /usr/bin/install -c -m 644 ../../src/gcc/opt-problem.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/opt-problem.h /usr/bin/install -c -m 644 ../../src/gcc/opt-suggestions.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/opt-suggestions.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-libfuncs.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optabs-libfuncs.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-query.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optabs-query.h /usr/bin/install -c -m 644 ../../src/gcc/optabs-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optabs-tree.h /usr/bin/install -c -m 644 ../../src/gcc/optabs.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optabs.def /usr/bin/install -c -m 644 ../../src/gcc/optabs.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optabs.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo-emit-json.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optinfo-emit-json.h /usr/bin/install -c -m 644 ../../src/gcc/optinfo.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/optinfo.h /usr/bin/install -c -m 644 options.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/options.h /usr/bin/install -c -m 644 ../../src/gcc/opts-diagnostic.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/opts-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/opts-jobserver.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/opts-jobserver.h /usr/bin/install -c -m 644 ../../src/gcc/opts.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/opts.h /usr/bin/install -c -m 644 ../../src/gcc/ordered-hash-map.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ordered-hash-map.h /usr/bin/install -c -m 644 ../../src/gcc/output.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/output.h /usr/bin/install -c -m 644 pass-instances.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/pass-instances.def /usr/bin/install -c -m 644 ../../src/gcc/pass_manager.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/pass_manager.h /usr/bin/install -c -m 644 ../../src/gcc/passes.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/passes.def /usr/bin/install -c -m 644 plugin-version.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/plugin-version.h /usr/bin/install -c -m 644 ../../src/gcc/plugin.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/plugin.def /usr/bin/install -c -m 644 ../../src/gcc/plugin.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/plugin.h /usr/bin/install -c -m 644 ../../src/gcc/pointer-query.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/pointer-query.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int-types.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/poly-int-types.h /usr/bin/install -c -m 644 ../../src/gcc/poly-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/poly-int.h /usr/bin/install -c -m 644 ../../src/gcc/predict.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/predict.def /usr/bin/install -c -m 644 ../../src/gcc/predict.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/predict.h /usr/bin/install -c -m 644 ../../src/gcc/prefix.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/prefix.h /usr/bin/install -c -m 644 ../../src/gcc/pretty-print-urlifier.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/pretty-print-urlifier.h /usr/bin/install -c -m 644 ../../src/gcc/pretty-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/print-rtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/print-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/print-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/print-tree.h /usr/bin/install -c -m 644 ../../src/gcc/profile-count.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/profile-count.h /usr/bin/install -c -m 644 ../../src/gcc/profile.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/profile.h /usr/bin/install -c -m 644 ../../src/gcc/range-op-mixed.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/range-op-mixed.h /usr/bin/install -c -m 644 ../../src/gcc/range-op.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/range-op.h /usr/bin/install -c -m 644 ../../src/gcc/range.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/range.h /usr/bin/install -c -m 644 ../../src/gcc/read-md.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/read-md.h /usr/bin/install -c -m 644 ../../src/gcc/read-rtl-function.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/read-rtl-function.h /usr/bin/install -c -m 644 ../../src/gcc/real.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/real.h /usr/bin/install -c -m 644 ../../src/gcc/realmpfr.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/realmpfr.h /usr/bin/install -c -m 644 ../../src/gcc/recog.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/recog.h /usr/bin/install -c -m 644 ../../src/gcc/reg-notes.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/reg-notes.def /usr/bin/install -c -m 644 ../../src/gcc/regcprop.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/regcprop.h /usr/bin/install -c -m 644 ../../src/gcc/regrename.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/regrename.h /usr/bin/install -c -m 644 ../../src/gcc/regs.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/regs.h /usr/bin/install -c -m 644 ../../src/gcc/regset.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/regset.h /usr/bin/install -c -m 644 ../../src/gcc/reload.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/reload.h /usr/bin/install -c -m 644 ../../src/gcc/resource.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/resource.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-error.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtl-error.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-iter.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtl-iter.h /usr/bin/install -c -m 644 ../../src/gcc/rtl-ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtl-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/rtl.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtl.def /usr/bin/install -c -m 644 ../../src/gcc/rtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtl.h /usr/bin/install -c -m 644 ../../src/gcc/rtlanal.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtlanal.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhash.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtlhash.h /usr/bin/install -c -m 644 ../../src/gcc/rtlhooks-def.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtlhooks-def.h /usr/bin/install -c -m 644 ../../src/gcc/rtx-vector-builder.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/rtx-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/run-rtl-passes.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/run-rtl-passes.h /usr/bin/install -c -m 644 ../../src/gcc/sanitizer.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sanitizer.def /usr/bin/install -c -m 644 ../../src/gcc/sbitmap.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sbitmap.h /usr/bin/install -c -m 644 ../../src/gcc/sched-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sched-int.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-dump.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sel-sched-dump.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched-ir.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sel-sched-ir.h /usr/bin/install -c -m 644 ../../src/gcc/sel-sched.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sel-sched.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-diagnostic.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/selftest-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/selftest-rtl.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/selftest-rtl.h /usr/bin/install -c -m 644 ../../src/gcc/selftest.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/selftest.h /usr/bin/install -c -m 644 ../../src/gcc/sese.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sese.h /usr/bin/install -c -m 644 ../../src/gcc/shortest-paths.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/shortest-paths.h /usr/bin/install -c -m 644 ../../src/gcc/shrink-wrap.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/shrink-wrap.h /usr/bin/install -c -m 644 ../../src/gcc/signop.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/signop.h /usr/bin/install -c -m 644 ../../src/gcc/sparseset.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sparseset.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/spellcheck-tree.h /usr/bin/install -c -m 644 ../../src/gcc/spellcheck.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/spellcheck.h /usr/bin/install -c -m 644 ../../src/gcc/splay-tree-utils.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/splay-tree-utils.h /usr/bin/install -c -m 644 ../../src/gcc/sreal.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sreal.h /usr/bin/install -c -m 644 ../../src/gcc/ssa-iterators.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ssa-iterators.h /usr/bin/install -c -m 644 ../../src/gcc/ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ssa.h /usr/bin/install -c -m 644 ../../src/gcc/statistics.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/statistics.h /usr/bin/install -c -m 644 ../../src/gcc/stmt.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/stmt.h /usr/bin/install -c -m 644 ../../src/gcc/stor-layout.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/stor-layout.h /usr/bin/install -c -m 644 ../../src/gcc/streamer-hooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/streamer-hooks.h /usr/bin/install -c -m 644 ../../src/gcc/stringpool.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/stringpool.h /usr/bin/install -c -m 644 ../../src/gcc/substring-locations.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/substring-locations.h /usr/bin/install -c -m 644 ../../src/gcc/symbol-summary.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/symbol-summary.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-clones.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/symtab-clones.h /usr/bin/install -c -m 644 ../../src/gcc/symtab-thunks.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/symtab-thunks.h /usr/bin/install -c -m 644 ../../src/gcc/sync-builtins.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/sync-builtins.def /usr/bin/install -c -m 644 ../../src/gcc/system.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/system.h /usr/bin/install -c -m 644 ../../src/gcc/target-def.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target-def.h /usr/bin/install -c -m 644 ../../src/gcc/target-globals.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target-globals.h /usr/bin/install -c -m 644 ../../src/gcc/target-hooks-macros.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target-hooks-macros.h /usr/bin/install -c -m 644 ../../src/gcc/target-insns.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target-insns.def /usr/bin/install -c -m 644 ../../src/gcc/target.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target.def /usr/bin/install -c -m 644 ../../src/gcc/target.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/target.h /usr/bin/install -c -m 644 ../../src/gcc/targhooks.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/targhooks.h /usr/bin/install -c -m 644 ../../src/gcc/timevar.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/timevar.def /usr/bin/install -c -m 644 ../../src/gcc/timevar.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/timevar.h /usr/bin/install -c -m 644 tm-preds.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tm-preds.h /usr/bin/install -c -m 644 tm.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tm.h /usr/bin/install -c -m 644 tm_p.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tm_p.h /usr/bin/install -c -m 644 ../../src/gcc/toplev.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/toplev.h /usr/bin/install -c -m 644 ../../src/gcc/tracer.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tracer.h /usr/bin/install -c -m 644 ../../src/gcc/trans-mem.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/trans-mem.h /usr/bin/install -c -m 644 ../../src/gcc/tree-affine.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-affine.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfg.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-cfg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-cfgcleanup.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-cfgcleanup.h /usr/bin/install -c -m 644 tree-check.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-check.h /usr/bin/install -c -m 644 ../../src/gcc/tree-chrec.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-chrec.h /usr/bin/install -c -m 644 ../../src/gcc/tree-core.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-core.h /usr/bin/install -c -m 644 ../../src/gcc/tree-data-ref.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-data-ref.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dfa.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-dfa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-diagnostic.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-diagnostic.h /usr/bin/install -c -m 644 ../../src/gcc/tree-dump.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-dump.h /usr/bin/install -c -m 644 ../../src/gcc/tree-eh.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-eh.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hash-traits.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-hash-traits.h /usr/bin/install -c -m 644 ../../src/gcc/tree-hasher.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-hasher.h /usr/bin/install -c -m 644 ../../src/gcc/tree-if-conv.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-if-conv.h /usr/bin/install -c -m 644 ../../src/gcc/tree-inline.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-inline.h /usr/bin/install -c -m 644 ../../src/gcc/tree-into-ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-into-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-iterator.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-iterator.h /usr/bin/install -c -m 644 ../../src/gcc/tree-logical-location.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-logical-location.h /usr/bin/install -c -m 644 ../../src/gcc/tree-nested.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-nested.h /usr/bin/install -c -m 644 ../../src/gcc/tree-object-size.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-object-size.h /usr/bin/install -c -m 644 ../../src/gcc/tree-outof-ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-outof-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-parloops.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-parloops.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pass.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-pass.h /usr/bin/install -c -m 644 ../../src/gcc/tree-phinodes.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-phinodes.h /usr/bin/install -c -m 644 ../../src/gcc/tree-pretty-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/tree-scalar-evolution.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-scalar-evolution.h /usr/bin/install -c -m 644 ../../src/gcc/tree-sra.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-sra.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-address.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-address.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias-compare.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-alias-compare.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-alias.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-alias.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ccp.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-ccp.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-coalesce.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-coalesce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dce.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-dce.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dom.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-dom.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-dse.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-dse.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-live.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-live.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-ivopts.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-loop-ivopts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-manip.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-loop-manip.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop-niter.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-loop-niter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-loop.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-loop.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-math-opts.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-math-opts.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-operands.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-operands.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-propagate.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-propagate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-reassoc.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-reassoc.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-sccvn.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-sccvn.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-scopedtables.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-scopedtables.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-strlen.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-strlen.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-ter.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-ter.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadedge.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-threadedge.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa-threadupdate.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa-threadupdate.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssa.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssa.h /usr/bin/install -c -m 644 ../../src/gcc/tree-ssanames.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-ssanames.h /usr/bin/install -c -m 644 ../../src/gcc/tree-stdarg.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-stdarg.h /usr/bin/install -c -m 644 ../../src/gcc/tree-streamer.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-streamer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-switch-conversion.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-switch-conversion.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vector-builder.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-vector-builder.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vectorizer.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-vectorizer.h /usr/bin/install -c -m 644 ../../src/gcc/tree-vrp.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree-vrp.h /usr/bin/install -c -m 644 ../../src/gcc/tree.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree.def /usr/bin/install -c -m 644 ../../src/gcc/tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tree.h /usr/bin/install -c -m 644 ../../src/gcc/treestruct.def /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/treestruct.def /usr/bin/install -c -m 644 ../../src/gcc/tristate.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tristate.h /usr/bin/install -c -m 644 ../../src/gcc/tsan.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tsan.h /usr/bin/install -c -m 644 ../../src/gcc/tsystem.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/tsystem.h /usr/bin/install -c -m 644 ../../src/gcc/typeclass.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/typeclass.h /usr/bin/install -c -m 644 ../../src/gcc/typed-splay-tree.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/typed-splay-tree.h /usr/bin/install -c -m 644 ../../src/gcc/ubsan.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/ubsan.h /usr/bin/install -c -m 644 ../../src/gcc/valtrack.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/valtrack.h /usr/bin/install -c -m 644 ../../src/gcc/value-pointer-equiv.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-pointer-equiv.h /usr/bin/install -c -m 644 ../../src/gcc/value-prof.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-prof.h /usr/bin/install -c -m 644 ../../src/gcc/value-query.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-query.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-pretty-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-range-pretty-print.h /usr/bin/install -c -m 644 ../../src/gcc/value-range-storage.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-range-storage.h /usr/bin/install -c -m 644 ../../src/gcc/value-range.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-range.h /usr/bin/install -c -m 644 ../../src/gcc/value-relation.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/value-relation.h /usr/bin/install -c -m 644 ../../src/gcc/varasm.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/varasm.h /usr/bin/install -c -m 644 ../../src/gcc/vec-perm-indices.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vec-perm-indices.h /usr/bin/install -c -m 644 ../../src/gcc/vec.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vec.h /usr/bin/install -c -m 644 ../../src/gcc/vector-builder.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vector-builder.h /usr/bin/install -c -m 644 version.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/version.h /usr/bin/install -c -m 644 ../../src/gcc/vmsdbg.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vmsdbg.h /usr/bin/install -c -m 644 ../../src/gcc/vr-values.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vr-values.h /usr/bin/install -c -m 644 ../../src/gcc/vtable-verify.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/vtable-verify.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-bitmask.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/wide-int-bitmask.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int-print.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/wide-int-print.h /usr/bin/install -c -m 644 ../../src/gcc/wide-int.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/wide-int.h /usr/bin/install -c -m 644 ../../src/gcc/xcoff.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/xcoff.h /usr/bin/install -c -m 644 b-header-vars /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/include/b-header-vars make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/gcc' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' true DO=all multi-do # /usr/bin/make make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' true DO=install multi-do # /usr/bin/make make[5]: Nothing to be done for 'install-data-am'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libbacktrace' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcpp' test -f config.h || (rm -f stamp-h1 && /usr/bin/make stamp-h1) mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES mkdir -p -- /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES cats="po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo po/be.gmo po/ca.gmo po/da.gmo po/de.gmo po/el.gmo po/eo.gmo po/es.gmo po/fi.gmo po/fr.gmo po/id.gmo po/ja.gmo po/ka.gmo po/nl.gmo po/pt_BR.gmo po/ro.gmo po/ru.gmo po/sr.gmo po/sv.gmo po/tr.gmo po/uk.gmo po/vi.gmo po/zh_CN.gmo po/zh_TW.gmo"; for cat in $cats; do \ lang=`basename $cat | sed 's/\.gmo$//'`; \ if [ -f $cat ]; then :; \ elif [ -f ../../src/libcpp/$cat ]; then cat=../../src/libcpp/$cat; \ else continue; \ fi; \ dir=/usr/lib/ghdl/gcc/share/locale/$lang/LC_MESSAGES; \ echo /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp$dir/cpplib-14.mo; \ /usr/bin/install -c -m 644 $cat /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp$dir/cpplib-14.mo; \ done /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 po/sr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 po/zh_CN.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/be.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/be/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ca.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ca/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/da.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/da/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/de.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/de/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/el.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/el/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/eo.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/eo/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/es.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/es/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fi/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/fr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/fr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/id.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/id/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ja.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ja/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ka.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ka/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/nl.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/nl/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/pt_BR.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/pt_BR/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ro.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ro/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/ru.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/ru/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 po/sr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/sv.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/sv/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/tr.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/tr/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/uk.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/uk/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/vi.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/vi/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 po/zh_CN.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_CN/LC_MESSAGES/cpplib-14.mo /usr/bin/install -c -m 644 ../../src/libcpp/po/zh_TW.gmo /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/share/locale/zh_TW/LC_MESSAGES/cpplib-14.mo make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcpp' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libdecnumber' make[4]: Nothing to be done for 'install'. make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libdecnumber' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'all'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' if test -n ""; then \ /bin/bash ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`powerpc64le-linux-gnu-gcc-14 -g -O2 -print-multi-os-directory`; \ /usr/bin/install -c -m 644 ./libiberty.a /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`powerpc64le-linux-gnu-gcc-14 -g -O2 -print-multi-os-directory`/./libiberty.an; \ ( cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`powerpc64le-linux-gnu-gcc-14 -g -O2 -print-multi-os-directory` ; chmod 644 ./libiberty.an ;powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ./libiberty.an ); \ mv -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`powerpc64le-linux-gnu-gcc-14 -g -O2 -print-multi-os-directory`/./libiberty.an /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/`powerpc64le-linux-gnu-gcc-14 -g -O2 -print-multi-os-directory`/./libiberty.a; \ case "" in \ /*) thd=;; \ *) thd=/usr/lib/ghdl/gcc/include/;; \ esac; \ /bin/bash ../../src/libiberty/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp${thd}; \ for h in ../../src/libiberty/../include/ansidecl.h ../../src/libiberty/../include/demangle.h ../../src/libiberty/../include/dyn-string.h ../../src/libiberty/../include/fibheap.h ../../src/libiberty/../include/floatformat.h ../../src/libiberty/../include/hashtab.h ../../src/libiberty/../include/libiberty.h ../../src/libiberty/../include/objalloc.h ../../src/libiberty/../include/partition.h ../../src/libiberty/../include/safe-ctype.h ../../src/libiberty/../include/sort.h ../../src/libiberty/../include/splay-tree.h ../../src/libiberty/../include/timeval-utils.h; do \ /usr/bin/install -c -m 644 $h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp${thd}; \ done; \ fi make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' make[5]: Nothing to be done for 'install'. make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty/testsuite' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libiberty' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/c++tools' /bin/bash ../../src/c++tools/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14 /usr/bin/install -c g++-mapper-server /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14 make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/c++tools' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[5]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[5]: Nothing to be done for 'install-exec-am'. /usr/bin/mkdir -p '/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1.la '/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib' libtool: install: /usr/bin/install -c .libs/libcc1.so.0.0.0 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libcc1.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so.0 || { rm -f libcc1.so.0 && ln -s libcc1.so.0.0.0 libcc1.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib && { ln -s -f libcc1.so.0.0.0 libcc1.so || { rm -f libcc1.so && ln -s libcc1.so.0.0.0 libcc1.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1.lai /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libcc1.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/../lib' /usr/bin/mkdir -p '/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin' /bin/bash ./libtool --mode=install /usr/bin/install -c libcc1plugin.la libcp1plugin.la '/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin' libtool: install: /usr/bin/install -c .libs/libcc1plugin.so.0.0.0 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/libcc1plugin.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so.0 || { rm -f libcc1plugin.so.0 && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin && { ln -s -f libcc1plugin.so.0.0.0 libcc1plugin.so || { rm -f libcc1plugin.so && ln -s libcc1plugin.so.0.0.0 libcc1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcc1plugin.lai /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/libcc1plugin.la libtool: install: /usr/bin/install -c .libs/libcp1plugin.so.0.0.0 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/libcp1plugin.so.0.0.0 libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so.0 || { rm -f libcp1plugin.so.0 && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so.0; }; }) libtool: install: (cd /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin && { ln -s -f libcp1plugin.so.0.0.0 libcp1plugin.so || { rm -f libcp1plugin.so && ln -s libcp1plugin.so.0.0.0 libcp1plugin.so; }; }) libtool: install: /usr/bin/install -c .libs/libcp1plugin.lai /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin/libcp1plugin.la libtool: install: warning: remember to run `libtool --finish /usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/plugin' make[5]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/libcc1' make[4]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' /bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14 /usr/bin/install -c -m 644 libgcc_eh.a /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/ chmod 644 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcc_eh.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcc_eh.a /bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib; /usr/bin/install -c -m 644 ./libgcc_s.so.1 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so.1; rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so; /usr/bin/install -c -m 644 ./libgcc_s.so /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/../lib/libgcc_s.so /bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14 /usr/bin/install -c -m 644 libgcc.a /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/ chmod 644 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcc.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcc.a /usr/bin/install -c -m 644 libgcov.a /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/ chmod 644 /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcov.a powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/libgcov.a parts="crtbegin.o crtbeginS.o crtbeginT.o crtend.o crtendS.o ecrti.o ecrtn.o ncrti.o ncrtn.o crtoffloadbegin.o crtoffloadend.o crtoffloadtable.o crtoffloadtableS.o"; \ for file in $parts; do \ rm -f /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/$file; \ /usr/bin/install -c -m 644 $file /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/; \ case $file in \ *.a) \ powerpc64le-linux-gnu-ranlib --plugin /usr/libexec/gcc/powerpc64le-linux-gnu/14/liblto_plugin.so ../.././gcc/$file ;; \ esac; \ done /bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include /usr/bin/install -c -m 644 unwind.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include /bin/bash ../../../src/libgcc/../mkinstalldirs /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include /usr/bin/install -c -m 644 ../../../src/libgcc/gcov.h /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/lib/gcc/powerpc64le-linux-gnu/14/include make[4]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build/powerpc64le-linux-gnu/libgcc' make[3]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/build' /usr/bin/make -C /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc install DESTDIR=/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -c -aI/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-gcc.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j8 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. powerpc64le-linux-gnu-gnatbind-14 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/main.adb -Igrt GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/gcc/vhdl/libgrt.a ar rcv lib/ghdl/gcc/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-gcc.o a - grt/./grt-backtraces-impl.o a - grt/./grt-errors_exec.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-backtraces.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/gcc/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/gcc/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/gcc/vhdl/grt.lst; done echo "@/libbacktrace.a" >> lib/ghdl/gcc/vhdl/grt.lst cat grt/grt-files.in >> lib/ghdl/gcc/vhdl/grt.lst mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/bin" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl" mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl" install -m 644 lib/ghdl/gcc/vhdl/libgrt.a /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/libgrt.a install -m 644 lib/ghdl/gcc/vhdl/grt.lst /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt.lst install -m 644 lib/ghdl/gcc/vhdl/grt-exec.lst /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt-exec.lst install -m 644 lib/ghdl/gcc/vhdl/grt-shared.lst /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt-shared.lst install -m 644 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/grt.ver /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/grt.ver test "x/usr/lib/ghdl/gcc/vhdl/libbacktrace.a" = x || test "xgcc" = xgcc || \ install -m 644 /usr/lib/ghdl/gcc/vhdl/libbacktrace.a /build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/gcc/vhdl/$d/* "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/gcc/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/gcc/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/"; mkdir -p "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/vendors/" install -m 755 -p lib/ghdl/gcc/libghdlvpi.so "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/vpi_user.h "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc/../../src/grt/vhpi_user.h "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-5_0_1.so "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/" install -m 755 -p libghdl.a "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p libghdl.link "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p ../../src/synth/include/synth.h "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/bin/" install -m 755 -p lib/libghw.so "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/" install -m 644 -p ../../ghw/libghw.h "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/include/ghdl/" "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/bin/ghdl-gcc" --disp-standard --std=87 > "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v87/standard.vhdl" "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/bin/ghdl-gcc" --disp-standard --std=93 > "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v93/standard.vhdl" "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/bin/ghdl-gcc" --disp-standard --std=08 > "/build/reproducible-path/ghdl-5.0.1+dfsg/debian/tmp/usr/lib/ghdl/gcc/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/gcc' ------------------------------------------------------------ Moving parts to required locations ------------------------------------------------------------ mkdir -p debian/tmp/usr/lib/powerpc64le-linux-gnu rm -f -r debian/tmp/usr/lib/ghdl/src mv debian/tmp/usr/lib/ghdl/gcc/vhdl/src debian/tmp/usr/lib/ghdl mv debian/tmp/usr/lib/ghdl/gcc/libghdl-*.so debian/tmp/usr/lib/powerpc64le-linux-gnu/ mv debian/tmp/usr/lib/ghdl/gcc/libghdl.a debian/tmp/usr/lib/powerpc64le-linux-gnu/ dh_testdir ------------------------------------------------------------ Installing with llvm backend ------------------------------------------------------------ /usr/bin/make -C /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm install DESTDIR=../../debian/tmp make[2]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' mkdir -p "../../debian/tmp/usr" mkdir -p "../../debian/tmp/usr/bin" mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm" mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm/vhdl" mkdir -p "../../debian/tmp/usr/lib/ghdl/include/ghdl" VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ../../.git && desc=`cd ../..; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd ../..; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd ../..; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#5.0.1#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < ../../src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi make -f ../../src/ortho/llvm6/Makefile \ ortho_srcdir=../../src/ortho ortho_exec=ghdl1-llvm \ GNATFLAGS="-aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata" \ GNATLFLAGS="grt-cstdio.o grt-cdynload.o" \ LDFLAGS="-Wl,-z,relro -Wl,-z,now" \ LLVM_CONFIG="llvm-config" CXX="g++-14" \ LLVM_LDFLAGS='`llvm-config --ldflags --libs --system-libs`' \ CXXFLAGS=" -g -Wall -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong" \ GNATMAKE="gnatmake -v -j8 -R -eS " all make[3]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' gnatmake -v -j8 -R -eS -o ghdl1-llvm -aI../../src/ortho/llvm6 -aI../../src/ortho \ -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata ortho_code_main -bargs -E \ -largs llvm-cbindings.o grt-cstdio.o grt-cdynload.o --LINK=g++-14 \ `llvm-config --ldflags --libs --system-libs` -Wl,-z,relro -Wl,-z,now GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "ghdl1-llvm" final executable "ortho_code_main.ali" being checked ... "ortho_front.ali" being checked ... "ortho_llvm.ali" being checked ... "bug.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "errorout-console.ali" being checked ... "flags.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "translation.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-sem.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-std_package.ali" being checked ... "vhdl-utils.ali" being checked ... "ortho_ident.ali" being checked ... "simple_io.ali" being checked ... "version.ali" being checked ... "files_map.ali" being checked ... "str_table.ali" being checked ... "filesystem.ali" being checked ... "logging.ali" being checked ... "std_names.ali" being checked ... "tables.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-tokens.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "outputs.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-parse.ali" being checked ... "ortho_nodes.ali" being checked ... "trans.ali" being checked ... "trans-chap1.ali" being checked ... "trans-chap12.ali" being checked ... "trans-chap2.ali" being checked ... "trans-chap3.ali" being checked ... "trans-chap4.ali" being checked ... "trans-chap7.ali" being checked ... "trans-coverage.ali" being checked ... "trans-helpers2.ali" being checked ... "trans-rtis.ali" being checked ... "trans_decls.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "vhdl-xrefs.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-types.ali" being checked ... "grt.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-vstrings.ali" being checked ... "mutils.ali" being checked ... "types_utils.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "grt-types.ali" being checked ... "grt-algos.ali" being checked ... "utils_io.ali" being checked ... "grt-c.ali" being checked ... "grt-stdio.ali" being checked ... "psl-errors.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "trans-chap5.ali" being checked ... "trans-chap6.ali" being checked ... "trans-chap9.ali" being checked ... "trans-chap8.ali" being checked ... "trans-chap14.ali" being checked ... "trans-foreach_non_composite.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "elab-vhdl_types.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "psl-subsets.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "trans_analyzes.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-errors.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "elab-vhdl_context.ali" being checked ... "grt-strings.ali" being checked ... "grt-arith.ali" being checked ... "netlists.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "synth-source.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-files.ali" being checked ... "netlists-folds.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-builders.ali" being checked ... "synth-context.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-utils.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-files_operations.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "synth-flags.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "grt-severity.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "grt-table.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-inference.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "grt-astdio.ali" being checked ... "grt-dynload.ali" being checked ... "synthesis.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-internings.ali" being checked ... "netlists-memories.ali" being checked ... "grt-readline.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "synth-verilog_insts.ali" being checked ... "grt-readline_none.ali" being checked ... "netlists-butils.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "verilog.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "verilog-vpi.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-standard.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-sv_strings.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-disp_tree.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "name_maps.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-debugger.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-macros.ali" being checked ... End of compilation gnatmake: "ghdl1-llvm" up to date. make[3]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' gnatmake -v -j8 -R -eS -aI../../src -aI../../src/vhdl -aI../../src/verilog -aI../../src/synth -aI../../src/grt -aI../../src/psl -aI../../src/vhdl/translate -aI../../src/ghdldrv -aI../../src/ortho -aI../../src/ortho/llvm6 -aI../../src/synth -aI../../src/simul \ -aI../../src/ghdldrv -gnat12 -gnaty3befhkmr -g -gnatwa -gnatwC -gnatf -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -gnata \ ghdl_llvm -bargs -static \ -largs -Wl,-z,relro -Wl,-z,now grt-cstdio.o grt-cdynload.o GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "ghdl_llvm.ali" being checked ... "default_paths.ali" being checked ... "ghdldrv.ali" being checked ... "ghdllib.ali" being checked ... "ghdllocal.ali" being checked ... "ghdlmain.ali" being checked ... "ghdlprint.ali" being checked ... "ghdlsynth_maybe.ali" being checked ... "ghdlverilog.ali" being checked ... "ghdlvpi.ali" being checked ... "ghdlxml.ali" being checked ... "dyn_tables.ali" being checked ... "errorout.ali" being checked ... "files_map.ali" being checked ... "filesystem.ali" being checked ... "flags.ali" being checked ... "libraries.ali" being checked ... "name_table.ali" being checked ... "options.ali" being checked ... "simple_io.ali" being checked ... "tables.ali" being checked ... "types.ali" being checked ... "vhdl.ali" being checked ... "vhdl-configuration.ali" being checked ... "vhdl-nodes.ali" being checked ... "vhdl-std_package.ali" being checked ... "version.ali" being checked ... "std_names.ali" being checked ... "vhdl-errors.ali" being checked ... "vhdl-prints.ali" being checked ... "vhdl-scanner.ali" being checked ... "vhdl-sem_lib.ali" being checked ... "vhdl-utils.ali" being checked ... "bug.ali" being checked ... "errorout-console.ali" being checked ... "vhdl-canon.ali" being checked ... "vhdl-elocations.ali" being checked ... "vhdl-formatters.ali" being checked ... "vhdl-parse.ali" being checked ... "vhdl-tokens.ali" being checked ... "vhdl-xrefs.ali" being checked ... "ghdlsynth.ali" being checked ... "elab.ali" being checked ... "elab-vhdl_insts.ali" being checked ... "ghdlcomp.ali" being checked ... "synth.ali" being checked ... "synth-verilog_insts.ali" being checked ... "synth-vhdl_insts.ali" being checked ... "synthesis.ali" being checked ... "verilog.ali" being checked ... "verilog-elaborate.ali" being checked ... "verilog-errors.ali" being checked ... "verilog-find_top.ali" being checked ... "verilog-flags.ali" being checked ... "verilog-nodes.ali" being checked ... "verilog-nutils.ali" being checked ... "verilog-parse.ali" being checked ... "verilog-scans.ali" being checked ... "verilog-sem.ali" being checked ... "verilog-sem_instances.ali" being checked ... "verilog-sem_scopes.ali" being checked ... "verilog-sem_types.ali" being checked ... "verilog-vhdl_export.ali" being checked ... "verilog-vpi.ali" being checked ... "vhdl-types.ali" being checked ... "vhdl-disp_tree.ali" being checked ... "vhdl-nodes_meta.ali" being checked ... "str_table.ali" being checked ... "logging.ali" being checked ... "elab-vhdl_objtypes.ali" being checked ... "file_comments.ali" being checked ... "outputs.ali" being checked ... "psl.ali" being checked ... "psl-dump_tree.ali" being checked ... "psl-nodes.ali" being checked ... "vhdl-back_end.ali" being checked ... "vhdl-lists.ali" being checked ... "vhdl-evaluation.ali" being checked ... "vhdl-nodes_walk.ali" being checked ... "vhdl-sem_scopes.ali" being checked ... "vhdl-nodes_priv.ali" being checked ... "psl-types.ali" being checked ... "vhdl-flists.ali" being checked ... "vhdl-nodes_utils.ali" being checked ... "vhdl-sem_utils.ali" being checked ... "psl-errors.ali" being checked ... "psl-nfas.ali" being checked ... "psl-prints.ali" being checked ... "psl-priorities.ali" being checked ... "grt.ali" being checked ... "grt-types.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-fcvt.ali" being checked ... "vhdl-nodes_gc.ali" being checked ... "vhdl-post_sems.ali" being checked ... "vhdl-sem.ali" being checked ... "psl-build.ali" being checked ... "psl-nfas-utils.ali" being checked ... "psl-rewrites.ali" being checked ... "vhdl-canon_psl.ali" being checked ... "vhdl-sem_inst.ali" being checked ... "vhdl-sem_specs.ali" being checked ... "vhdl-elocations_meta.ali" being checked ... "utils_io.ali" being checked ... "vhdl-comments.ali" being checked ... "vhdl-parse_psl.ali" being checked ... "elab-debugger.ali" being checked ... "elab-vhdl_annotations.ali" being checked ... "elab-vhdl_context.ali" being checked ... "elab-vhdl_errors.ali" being checked ... "netlists.ali" being checked ... "netlists-disp_dot.ali" being checked ... "netlists-disp_verilog.ali" being checked ... "netlists-disp_vhdl.ali" being checked ... "netlists-dump.ali" being checked ... "netlists-errors.ali" being checked ... "netlists-inference.ali" being checked ... "netlists-rename.ali" being checked ... "synth-context.ali" being checked ... "synth-disp_vhdl.ali" being checked ... "synth-flags.ali" being checked ... "synth-vhdl_context.ali" being checked ... "synth-vhdl_foreign.ali" being checked ... "areapools.ali" being checked ... "elab-memtype.ali" being checked ... "elab-vhdl_decls.ali" being checked ... "elab-vhdl_expr.ali" being checked ... "elab-vhdl_files.ali" being checked ... "elab-vhdl_stmts.ali" being checked ... "elab-vhdl_types.ali" being checked ... "elab-vhdl_values.ali" being checked ... "synth-vhdl_expr.ali" being checked ... "synth-vhdl_stmts.ali" being checked ... "dyn_interning.ali" being checked ... "dyn_maps.ali" being checked ... "hash.ali" being checked ... "interning.ali" being checked ... "netlists-builders.ali" being checked ... "netlists-gates.ali" being checked ... "netlists-locations.ali" being checked ... "netlists-utils.ali" being checked ... "synth-errors.ali" being checked ... "synth-verilog_context.ali" being checked ... "synth-verilog_elaboration.ali" being checked ... "synth-verilog_environment.ali" being checked ... "synth-verilog_exprs.ali" being checked ... "synth-verilog_sources.ali" being checked ... "synth-verilog_stmts.ali" being checked ... "synth-verilog_values.ali" being checked ... "types_utils.ali" being checked ... "verilog-allocates.ali" being checked ... "verilog-bignums.ali" being checked ... "verilog-disp_verilog.ali" being checked ... "verilog-sem_names.ali" being checked ... "verilog-storages.ali" being checked ... "grt-algos.ali" being checked ... "netlists-concats.ali" being checked ... "netlists-folds.ali" being checked ... "synth-source.ali" being checked ... "synth-vhdl_decls.ali" being checked ... "synth-vhdl_environment.ali" being checked ... "synth-vhdl_oper.ali" being checked ... "vhdl-ieee.ali" being checked ... "vhdl-ieee-math_real.ali" being checked ... "elab-vhdl_values-debug.ali" being checked ... "netlists-cleanup.ali" being checked ... "netlists-expands.ali" being checked ... "netlists-memories.ali" being checked ... "verilog-tokens.ali" being checked ... "verilog-nodes_meta.ali" being checked ... "verilog-types.ali" being checked ... "verilog-sem_utils.ali" being checked ... "verilog-standard.ali" being checked ... "verilog-bn_tables.ali" being checked ... "verilog-macros.ali" being checked ... "verilog-resolve_names.ali" being checked ... "verilog-sem_decls.ali" being checked ... "verilog-sem_eval.ali" being checked ... "verilog-sem_expr.ali" being checked ... "verilog-sem_stmts.ali" being checked ... "verilog-sem_upwards.ali" being checked ... "grt-astdio.ali" being checked ... "grt-stdio.ali" being checked ... "name_maps.ali" being checked ... "verilog-executions.ali" being checked ... "verilog-simulation.ali" being checked ... "verilog-sv_strings.ali" being checked ... "mutils.ali" being checked ... "grt-c.ali" being checked ... "psl-nodes_meta.ali" being checked ... "psl-hash.ali" being checked ... "lists.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-vhdl_types.ali" being checked ... "synth-vhdl_eval.ali" being checked ... "vhdl-ieee-std_logic_1164.ali" being checked ... "psl-nodes_priv.ali" being checked ... "flists.ali" being checked ... "vhdl-ieee-numeric.ali" being checked ... "vhdl-ieee-numeric_std_unsigned.ali" being checked ... "vhdl-ieee-std_logic_arith.ali" being checked ... "vhdl-ieee-std_logic_misc.ali" being checked ... "vhdl-ieee-std_logic_unsigned.ali" being checked ... "vhdl-ieee-vital_timing.ali" being checked ... "vhdl-std_env.ali" being checked ... "vhdl-sem_assocs.ali" being checked ... "vhdl-sem_decls.ali" being checked ... "vhdl-sem_expr.ali" being checked ... "vhdl-sem_names.ali" being checked ... "vhdl-sem_psl.ali" being checked ... "vhdl-sem_stmts.ali" being checked ... "vhdl-sem_types.ali" being checked ... "psl-cse.ali" being checked ... "psl-disp_nfas.ali" being checked ... "psl-optimize.ali" being checked ... "psl-qm.ali" being checked ... "debuggers.ali" being checked ... "elab-vhdl_context-debug.ali" being checked ... "elab-vhdl_debug.ali" being checked ... "elab-vhdl_utils.ali" being checked ... "netlists-iterators.ali" being checked ... "netlists-gates_ports.ali" being checked ... "netlists-internings.ali" being checked ... "grt-severity.ali" being checked ... "grt-dynload.ali" being checked ... "elab-vhdl_heap.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-strings.ali" being checked ... "grt-files.ali" being checked ... "grt-files_operations.ali" being checked ... "synth-vhdl_aggr.ali" being checked ... "elab-vhdl_prot.ali" being checked ... "psl-subsets.ali" being checked ... "synth-vhdl_static_proc.ali" being checked ... "synth-environment.ali" being checked ... "synth-environment-debug.ali" being checked ... "synth-verilog_errors.ali" being checked ... "verilog-abi.ali" being checked ... "verilog-sv_arrays.ali" being checked ... "verilog-sv_classes.ali" being checked ... "verilog-sv_maps.ali" being checked ... "verilog-sv_queues.ali" being checked ... "verilog-disp_tree.ali" being checked ... "netlists-butils.ali" being checked ... "verilog-debugger.ali" being checked ... "grt-arith.ali" being checked ... "synth-ieee.ali" being checked ... "synth-ieee-numeric_std.ali" being checked ... "synth-ieee-std_logic_1164.ali" being checked ... "synth-ieee-std_logic_arith.ali" being checked ... "vhdl-sensitivity_checks.ali" being checked ... "grt-readline.ali" being checked ... "grt-table.ali" being checked ... "synth-ieee-utils.ali" being checked ... "grt-readline_none.ali" being checked ... End of compilation gnatmake: "ghdl_llvm" up to date. install -m 755 ghdl_llvm ../../debian/tmp/usr/bin/ghdl-llvm install -m 755 ghdl1-llvm ../../debian/tmp/usr/lib/ghdl/llvm/ghdl1-llvm cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -c -aI/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt -aI.. \ -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY ghdl_main -g -gnatwa -gnatwC -gnatf -gnatw.X -g -O2 -ffile-prefix-map=/build/reproducible-path/ghdl-5.0.1+dfsg=. -fstack-protector-strong -gno-record-gcc-switches -cargs -fPIC GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. "ghdl_main.ali" being checked ... "grt.ali" being checked ... "grt-errors.ali" being checked ... "grt-heap.ali" being checked ... "grt-main.ali" being checked ... "grt-modules.ali" being checked ... "grt-no_analog_solver.ali" being checked ... "grt-options.ali" being checked ... "grt-rtis_binding.ali" being checked ... "grt-std_logic_1164.ali" being checked ... "grt-types.ali" being checked ... "grt-astdio.ali" being checked ... "grt-astdio-vhdl.ali" being checked ... "grt-stdio.ali" being checked ... "grt-vhdl_types.ali" being checked ... "grt-c.ali" being checked ... "grt-change_generics.ali" being checked ... "grt-files_lib.ali" being checked ... "grt-hooks.ali" being checked ... "grt-images.ali" being checked ... "grt-lib.ali" being checked ... "grt-names.ali" being checked ... "grt-processes.ali" being checked ... "grt-shadow_ieee.ali" being checked ... "grt-signals.ali" being checked ... "grt-stats.ali" being checked ... "grt-values.ali" being checked ... "grt-backtraces.ali" being checked ... "grt-disp_rti.ali" being checked ... "grt-disp_tree.ali" being checked ... "grt-fst.ali" being checked ... "grt-psl.ali" being checked ... "grt-vcd.ali" being checked ... "grt-vcdz.ali" being checked ... "grt-vhpi.ali" being checked ... "grt-vital_annotate.ali" being checked ... "grt-vpi.ali" being checked ... "grt-waves.ali" being checked ... "grt-fcvt.ali" being checked ... "grt-severity.ali" being checked ... "grt-strings.ali" being checked ... "grt-wave_opt.ali" being checked ... "grt-wave_opt-file.ali" being checked ... "grt-rtis.ali" being checked ... "grt-avhpi.ali" being checked ... "grt-avhpi_utils.ali" being checked ... "grt-rtis_addr.ali" being checked ... "grt-errors_exec.ali" being checked ... "grt-files.ali" being checked ... "grt-callbacks.ali" being checked ... "grt-rtis_utils.ali" being checked ... "grt-to_strings.ali" being checked ... "grt-arith.ali" being checked ... "grt-rstrings.ali" being checked ... "grt-analog_solver.ali" being checked ... "grt-disp.ali" being checked ... "grt-disp_signals.ali" being checked ... "grt-table.ali" being checked ... "grt-threads.ali" being checked ... "grt-stack2.ali" being checked ... "grt-backtraces-impl.ali" being checked ... "grt-fst_api.ali" being checked ... "grt-rtis_types.ali" being checked ... "grt-wave_opt-design.ali" being checked ... "grt-zlib.ali" being checked ... "grt-vstrings.ali" being checked ... "grt-sdf.ali" being checked ... "version.ali" being checked ... "grt-algos.ali" being checked ... "grt-avls.ali" being checked ... "grt-ghw.ali" being checked ... "grt-vstrings_io.ali" being checked ... "grt-unithread.ali" being checked ... "grt-backtraces-jit.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e '/^P /s/P /P NR /' < grt/ghdl_main.ali > grt/ghdl_main-tmp.ali mv grt/ghdl_main-tmp.ali grt/ghdl_main.ali cd grt && gnatmake -v -j8 -R -eS -b ghdl_main.ali -bargs -Lgrt_ -o run-bind.adb -n GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. powerpc64le-linux-gnu-gnatbind-14 -Lgrt_ -o run-bind.adb -n -x ghdl_main.ali cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o run-bind.o run-bind.adb GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "run-bind.o" final executable "run-bind.ali" being checked ... End of compilation gnatmake: objects up to date. cd grt && MSYS2_ARG_CONV_EXCL="-aI;-gnatec" gnatmake -v -j8 -R -eS -u -c -fPIC -gnatec/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.adc -gnatdY -o main.o /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/main.adb -Igrt GNATMAKE 14.2.0 Copyright (C) 1992-2024, Free Software Foundation, Inc. -> "main.o" final executable "main.ali" being checked ... End of compilation gnatmake: objects up to date. sed -e "1,/-- *BEGIN/d" -e "/-- *END/,\$d" \ -e "s/ -- //" < grt/run-bind.adb | tr -d '\r' > grt/grt-files rm -f -f lib/ghdl/llvm/vhdl/libgrt.a ar rcv lib/ghdl/llvm/vhdl/libgrt.a `sed -e "/^-/d" -e "s!^!grt/!" < grt/grt-files` \ jumps.o times.o grt-cstdio.o grt-cgnatrts.o grt-no_sundials_c.o grt-cvpi.o grt-cvhpi.o grt-cdynload.o fstapi.o lz4.o fastlz.o grt/run-bind.o grt/main.o a - grt/./grt.o a - grt/./grt-c.o a - grt/./grt-severity.o a - grt/./grt-stdio.o a - grt/./grt-strings.o a - grt/./grt-types.o a - grt/./grt-astdio.o a - grt/./grt-fcvt.o a - grt/./grt-rstrings.o a - grt/./grt-rtis.o a - grt/./grt-rtis_binding.o a - grt/./grt-vhdl_types.o a - grt/./grt-callbacks.o a - grt/./grt-hooks.o a - grt/./grt-wave_opt.o a - grt/./grt-wave_opt-file.o a - grt/./grt-astdio-vhdl.o a - grt/./grt-errors.o a - grt/./grt-options.o a - grt/./grt-shadow_ieee.o a - grt/./grt-vstrings.o a - grt/./grt-wave_opt-design.o a - grt/./grt-algos.o a - grt/./grt-analog_solver.o a - grt/./grt-arith.o a - grt/./grt-avls.o a - grt/./grt-fst_api.o a - grt/./grt-ghw.o a - grt/./grt-heap.o a - grt/./grt-no_analog_solver.o a - grt/./grt-rtis_addr.o a - grt/./grt-stack2.o a - grt/./grt-table.o a - grt/./grt-files.o a - grt/./grt-to_strings.o a - grt/./grt-vstrings_io.o a - grt/./grt-rtis_utils.o a - grt/./grt-avhpi.o a - grt/./grt-avhpi_utils.o a - grt/./grt-backtraces-jit.o a - grt/./grt-backtraces-impl.o a - grt/./grt-errors_exec.o a - grt/./grt-disp.o a - grt/./grt-disp_signals.o a - grt/./grt-stats.o a - grt/./grt-unithread.o a - grt/./grt-threads.o a - grt/./grt-backtraces.o a - grt/./grt-processes.o a - grt/./grt-signals.o a - grt/./grt-disp_rti.o a - grt/./grt-disp_tree.o a - grt/./grt-files_lib.o a - grt/./grt-images.o a - grt/./grt-lib.o a - grt/./grt-names.o a - grt/./grt-psl.o a - grt/./grt-rtis_types.o a - grt/./grt-vital_annotate.o a - grt/./grt-sdf.o a - grt/./grt-std_logic_1164.o a - grt/./grt-values.o a - grt/./grt-change_generics.o a - grt/./grt-main.o a - grt/./grt-vcd.o a - grt/./grt-fst.o a - grt/./grt-vhpi.o a - grt/./grt-waves.o a - grt/./grt-zlib.o a - grt/./grt-vcdz.o a - grt/./version.o a - grt/./grt-vpi.o a - grt/./grt-modules.o a - grt/./ghdl_main.o a - jumps.o a - times.o a - grt-cstdio.o a - grt-cgnatrts.o a - grt-no_sundials_c.o a - grt-cvpi.o a - grt-cvhpi.o a - grt-cdynload.o a - fstapi.o a - lz4.o a - fastlz.o a - grt/run-bind.o a - grt/main.o ranlib lib/ghdl/llvm/vhdl/libgrt.a sed -e "\!^.[/\\]!d" -e "/-shared/d" -e "/-static/d" -e "/-lgnat/d" \ -e "\X-L/Xd" < grt/grt-files > grt/grt-files.in echo "@/libgrt.a" > lib/ghdl/llvm/vhdl/grt.lst for i in -ldl -lm; do echo $i >> lib/ghdl/llvm/vhdl/grt.lst; done cat grt/grt-files.in >> lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/libgrt.a ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/libgrt.a install -m 644 lib/ghdl/llvm/vhdl/grt.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt.lst install -m 644 lib/ghdl/llvm/vhdl/grt-exec.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt-exec.lst install -m 644 lib/ghdl/llvm/vhdl/grt-shared.lst ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt-shared.lst install -m 644 /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/grt.ver ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/grt.ver test "x" = x || test "xllvm" = xgcc || \ install -m 644 ../../debian/tmp/usr/lib/ghdl/llvm/vhdl/libbacktrace.a for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf; do \ mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/$d"; \ install -m 644 -p \ lib/ghdl/llvm/vhdl/$d/* "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/$d"; \ done install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/ieee/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v08' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v87' install: omitting directory 'lib/ghdl/llvm/vhdl/src/std/v93' install: omitting directory 'lib/ghdl/llvm/vhdl/src/synopsys/v08' install -m 644 -p \ ../../scripts/ansi_color.sh "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/"; mkdir -p "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors" install -m 644 -p \ ../../scripts/vendors/* "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p \ ../../scripts/vendors/*.sh "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/vendors/" install -m 755 -p lib/ghdl/llvm/libghdlvpi.so "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/vpi_user.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p /build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm/../../src/grt/vhpi_user.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p lib/libghdl-5_0_1.so "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 755 -p libghdl.a "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p libghdl.link "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p ../../src/synth/include/synth.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 644 -p ../../src/synth/include/synth_gates.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" install -m 755 -p ghwdump "../../debian/tmp/usr/bin/" install -m 755 -p lib/libghw.so "../../debian/tmp/usr/lib/ghdl/llvm/" install -m 644 -p ../../ghw/libghw.h "../../debian/tmp/usr/lib/ghdl/include/ghdl/" "../../debian/tmp/usr/bin/ghdl-llvm" --disp-standard --std=87 > "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v87/standard.vhdl" "../../debian/tmp/usr/bin/ghdl-llvm" --disp-standard --std=93 > "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v93/standard.vhdl" "../../debian/tmp/usr/bin/ghdl-llvm" --disp-standard --std=08 > "../../debian/tmp/usr/lib/ghdl/llvm/vhdl/src/std/v08/standard.vhdl" make[2]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg/builddir/llvm' ------------------------------------------------------------ Moving parts to required locations ------------------------------------------------------------ mkdir -p debian/tmp/usr/lib/powerpc64le-linux-gnu rm -f -r debian/tmp/usr/lib/ghdl/src mv debian/tmp/usr/lib/ghdl/llvm/vhdl/src debian/tmp/usr/lib/ghdl mv debian/tmp/usr/lib/ghdl/llvm/libghdl-*.so debian/tmp/usr/lib/powerpc64le-linux-gnu/ mv debian/tmp/usr/lib/ghdl/llvm/libghdl.a debian/tmp/usr/lib/powerpc64le-linux-gnu/ ------------------------------------------------------------ Common install final steps ------------------------------------------------------------ cd debian/tmp/usr/lib/powerpc64le-linux-gnu && ln -s libghdl-*.so libghdl.so rm -f debian/tmp/usr/lib/ghdl/src/ieee2008/LICENSE make[1]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg' dh_install -a debian/rules override_dh_installdocs make[1]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg' dh_installdocs -pghdl -pghdl-mcode -pghdl-llvm -pghdl-gcc --link-doc=ghdl-common dh_installdocs --remaining-packages make[1]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg' dh_installchangelogs -a dh_installman -a dh_lintian -a dh_perl -a dh_link -a dh_strip_nondeterminism -a dh_compress -a dh_fixperms -a dh_missing -a debian/rules override_dh_dwz make[1]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg' # dwz currently has problems with some debug sections created by the # current LLVM compiler and would cause this step to fail. #dh_dwz -a -Nghdl-llvm # Furthermore it appears to have problems with something in # libghdlvpi.so and runs into assertions, so disable it altogether for # now. make[1]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg' dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib64/ld64.so.2 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib64/ld64.so.2.usr-is-merged dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 from: /lib64/ld64.so.2 dpkg-shlibdeps: warning: diversions involved - output may be incorrect diversion by libc6 to: /lib64/ld64.so.2.usr-is-merged dh_installdeb -a debian/rules override_dh_gencontrol make[1]: Entering directory '/build/reproducible-path/ghdl-5.0.1+dfsg' dh_gencontrol -- -VBuilt-Using-GCC="gcc-14 (= 14.2.0-19)" make[1]: Leaving directory '/build/reproducible-path/ghdl-5.0.1+dfsg' dh_md5sums -a dh_builddeb -a dpkg-deb: building package 'ghdl-llvm' in '../ghdl-llvm_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-deb: building package 'ghdl-tools' in '../ghdl-tools_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-deb: building package 'libghdl-5-0-1' in '../libghdl-5-0-1_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-deb: building package 'ghdl' in '../ghdl_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-deb: building package 'ghdl-gcc' in '../ghdl-gcc_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-deb: building package 'libghdl-dev' in '../libghdl-dev_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-deb: building package 'ghdl-common' in '../ghdl-common_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-deb: building package 'ghdl-tools-dbgsym' in '../ghdl-tools-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-deb: building package 'libghdl-5-0-1-dbgsym' in '../libghdl-5-0-1-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-deb: building package 'ghdl-llvm-dbgsym' in '../ghdl-llvm-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-deb: building package 'ghdl-gcc-dbgsym' in '../ghdl-gcc-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb'. dpkg-genbuildinfo --build=any -O../ghdl_5.0.1+dfsg-1+b1_ppc64el.buildinfo dpkg-genchanges --build=any -O../ghdl_5.0.1+dfsg-1+b1_ppc64el.changes dpkg-genchanges: info: binary-only arch-specific upload (source code and arch-indep packages not included) dpkg-source --after-build . dpkg-buildpackage: info: binary-only upload (no source included) -------------------------------------------------------------------------------- Build finished at 2025-09-21T16:08:58Z Finished -------- I: Built successfully +------------------------------------------------------------------------------+ | Changes Sun, 21 Sep 2025 16:09:02 +0000 | +------------------------------------------------------------------------------+ ghdl_5.0.1+dfsg-1+b1_ppc64el.changes: ------------------------------------- Format: 1.8 Date: Sat, 29 Mar 2025 20:09:34 +0000 Source: ghdl (5.0.1+dfsg-1) Binary: ghdl ghdl-common ghdl-gcc ghdl-gcc-dbgsym ghdl-llvm ghdl-llvm-dbgsym ghdl-tools ghdl-tools-dbgsym libghdl-5-0-1 libghdl-5-0-1-dbgsym libghdl-dev Binary-Only: yes Architecture: ppc64el Version: 5.0.1+dfsg-1+b1 Distribution: unstable Urgency: low Maintainer: Debian Electronics Team Changed-By: ppc64el Build Daemon (ppc64el-osuosl-02) Description: ghdl - VHDL compiler/simulator ghdl-common - VHDL compiler/simulator (common files) ghdl-gcc - VHDL compiler/simulator (GCC backend) ghdl-llvm - VHDL compiler/simulator (LLVM backend) ghdl-tools - VHDL compiler/simulator (tools) libghdl-5-0-1 - VHDL compiler/simulator (shared library) libghdl-dev - VHDL compiler/simulator (library development files) Changes: ghdl (5.0.1+dfsg-1+b1) sid; urgency=low, binary-only=yes . * Binary-only non-maintainer upload for ppc64el; no source changes. * Rebuild on buildd Checksums-Sha1: f7052faf8b83e1200da2a0afba5393a311dca004 171556 ghdl-common_5.0.1+dfsg-1+b1_ppc64el.deb 8cf4e2e99c59f4f3275e2c929b69406655589542 118182212 ghdl-gcc-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb fdc7b562dd0c13f4a90239ef71557bee2fdd143b 12147416 ghdl-gcc_5.0.1+dfsg-1+b1_ppc64el.deb 8602fd8d92970b92bd62833c47ca9663126a01b2 10463520 ghdl-llvm-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb c10d7ee3a173b3a338365f0148a5a04acba1ae6f 2889264 ghdl-llvm_5.0.1+dfsg-1+b1_ppc64el.deb a7046f45e3f8338b517aa6dee7b3848714bc1754 37776 ghdl-tools-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 387c9bedd93d1bc6875c8f48a4617c1cb6874834 36016 ghdl-tools_5.0.1+dfsg-1+b1_ppc64el.deb c5484693a4bb46347de63eed63e09d0a1f839139 10822 ghdl_5.0.1+dfsg-1+b1_ppc64el.buildinfo 638a074ac6a98c65a6ba864964acca9712ac9643 1704 ghdl_5.0.1+dfsg-1+b1_ppc64el.deb 66c18e9f42270bbf30c7d2f40b6d591a98f4baf1 3842636 libghdl-5-0-1-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb ce387877081f107cfa50bd7c0e69fcf910f53a8e 1402976 libghdl-5-0-1_5.0.1+dfsg-1+b1_ppc64el.deb 370c3d47348c53aae3a4bc1ce3f82db5c9bfc237 1640020 libghdl-dev_5.0.1+dfsg-1+b1_ppc64el.deb Checksums-Sha256: 177c8214c7b57ba3b6209bc6122e28dc764e77e15fb1d5a4a7d5a11e12333714 171556 ghdl-common_5.0.1+dfsg-1+b1_ppc64el.deb a15075b714d2f73ec06640dda6746486b0499852f5e547baa532122d5100ab12 118182212 ghdl-gcc-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb d92554e89079b84fae55ba752c57686d733177dfd727059c3820dcea2dba3f51 12147416 ghdl-gcc_5.0.1+dfsg-1+b1_ppc64el.deb dca7b22bc401e8195cd11ab5f5c98f5fef395ba54b42f56de6cf711f5784e25a 10463520 ghdl-llvm-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb b7e7e671165ae244a13457988ed7d8ebac4ac50a97115b00ec88f7fc23d3c5ac 2889264 ghdl-llvm_5.0.1+dfsg-1+b1_ppc64el.deb c7a44d20b7074a4bf4823fdd8aaf086e3956cc151ea03f4eeb93f1f8fb54b758 37776 ghdl-tools-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 14c6fa6ca5b2dcb9ec1f1c6c5f7f9aed7acd9060348de44d118b51bb933efdb2 36016 ghdl-tools_5.0.1+dfsg-1+b1_ppc64el.deb 53e7a58b35471f6857e572c6e1e0ae5e24e9767b2758627db9b57c7b55218964 10822 ghdl_5.0.1+dfsg-1+b1_ppc64el.buildinfo 96e1a5633f4d58b2b7e7c40b1367d9e1d94db0b5ce6b98cbd25a53ffadb740ea 1704 ghdl_5.0.1+dfsg-1+b1_ppc64el.deb e97e7b609286af0dbb4bfc1ee6877799376bd7acba42b9d1eb73a6223c2b153e 3842636 libghdl-5-0-1-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 19c1ac5d0b044f40bc7621eaca49fe0339d07144d70fd301c637399065d53c7a 1402976 libghdl-5-0-1_5.0.1+dfsg-1+b1_ppc64el.deb a77fcc030a6fe39789845fe3bd385a8c43f62602b570f1351d842b3119fdb66f 1640020 libghdl-dev_5.0.1+dfsg-1+b1_ppc64el.deb Files: caa8001cb55973114a290fbbb45b9d6b 171556 electronics optional ghdl-common_5.0.1+dfsg-1+b1_ppc64el.deb b1229ba0263b7ef79b19af8dce25f6d6 118182212 debug optional ghdl-gcc-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 9f6065b80bc982e1f394ccd9360b0b1b 12147416 electronics optional ghdl-gcc_5.0.1+dfsg-1+b1_ppc64el.deb 0d71239ffb7108f96f78adfd80dc8c3a 10463520 debug optional ghdl-llvm-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 84b38af6c933da24cb4aacb115195790 2889264 electronics optional ghdl-llvm_5.0.1+dfsg-1+b1_ppc64el.deb 2e3011a4da84e53145cb6b3107a9765e 37776 debug optional ghdl-tools-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb b3c7da6e34603b55a51393136c57f392 36016 electronics optional ghdl-tools_5.0.1+dfsg-1+b1_ppc64el.deb 7bb9065e9b13fc89a4317972772f617a 10822 electronics optional ghdl_5.0.1+dfsg-1+b1_ppc64el.buildinfo 1ce07cb6cc164319d9e5d53144e6a4bd 1704 electronics optional ghdl_5.0.1+dfsg-1+b1_ppc64el.deb b9969dd182047bea3659c12236447b66 3842636 debug optional libghdl-5-0-1-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 991f79f65e1f854e9bb7cd56ab529fff 1402976 electronics optional libghdl-5-0-1_5.0.1+dfsg-1+b1_ppc64el.deb f3b5318293bcd80501ef0a0bbfd7dc46 1640020 electronics optional libghdl-dev_5.0.1+dfsg-1+b1_ppc64el.deb +------------------------------------------------------------------------------+ | Buildinfo Sun, 21 Sep 2025 16:09:02 +0000 | +------------------------------------------------------------------------------+ Format: 1.0 Source: ghdl (5.0.1+dfsg-1) Binary: ghdl ghdl-common ghdl-gcc ghdl-gcc-dbgsym ghdl-llvm ghdl-llvm-dbgsym ghdl-tools ghdl-tools-dbgsym libghdl-5-0-1 libghdl-5-0-1-dbgsym libghdl-dev Architecture: ppc64el Version: 5.0.1+dfsg-1+b1 Binary-Only-Changes: ghdl (5.0.1+dfsg-1+b1) sid; urgency=low, binary-only=yes . * Binary-only non-maintainer upload for ppc64el; no source changes. * Rebuild on buildd . -- ppc64el Build Daemon (ppc64el-osuosl-02) Sat, 29 Mar 2025 20:09:34 +0000 Checksums-Md5: caa8001cb55973114a290fbbb45b9d6b 171556 ghdl-common_5.0.1+dfsg-1+b1_ppc64el.deb b1229ba0263b7ef79b19af8dce25f6d6 118182212 ghdl-gcc-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 9f6065b80bc982e1f394ccd9360b0b1b 12147416 ghdl-gcc_5.0.1+dfsg-1+b1_ppc64el.deb 0d71239ffb7108f96f78adfd80dc8c3a 10463520 ghdl-llvm-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 84b38af6c933da24cb4aacb115195790 2889264 ghdl-llvm_5.0.1+dfsg-1+b1_ppc64el.deb 2e3011a4da84e53145cb6b3107a9765e 37776 ghdl-tools-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb b3c7da6e34603b55a51393136c57f392 36016 ghdl-tools_5.0.1+dfsg-1+b1_ppc64el.deb 1ce07cb6cc164319d9e5d53144e6a4bd 1704 ghdl_5.0.1+dfsg-1+b1_ppc64el.deb b9969dd182047bea3659c12236447b66 3842636 libghdl-5-0-1-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 991f79f65e1f854e9bb7cd56ab529fff 1402976 libghdl-5-0-1_5.0.1+dfsg-1+b1_ppc64el.deb f3b5318293bcd80501ef0a0bbfd7dc46 1640020 libghdl-dev_5.0.1+dfsg-1+b1_ppc64el.deb Checksums-Sha1: f7052faf8b83e1200da2a0afba5393a311dca004 171556 ghdl-common_5.0.1+dfsg-1+b1_ppc64el.deb 8cf4e2e99c59f4f3275e2c929b69406655589542 118182212 ghdl-gcc-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb fdc7b562dd0c13f4a90239ef71557bee2fdd143b 12147416 ghdl-gcc_5.0.1+dfsg-1+b1_ppc64el.deb 8602fd8d92970b92bd62833c47ca9663126a01b2 10463520 ghdl-llvm-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb c10d7ee3a173b3a338365f0148a5a04acba1ae6f 2889264 ghdl-llvm_5.0.1+dfsg-1+b1_ppc64el.deb a7046f45e3f8338b517aa6dee7b3848714bc1754 37776 ghdl-tools-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 387c9bedd93d1bc6875c8f48a4617c1cb6874834 36016 ghdl-tools_5.0.1+dfsg-1+b1_ppc64el.deb 638a074ac6a98c65a6ba864964acca9712ac9643 1704 ghdl_5.0.1+dfsg-1+b1_ppc64el.deb 66c18e9f42270bbf30c7d2f40b6d591a98f4baf1 3842636 libghdl-5-0-1-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb ce387877081f107cfa50bd7c0e69fcf910f53a8e 1402976 libghdl-5-0-1_5.0.1+dfsg-1+b1_ppc64el.deb 370c3d47348c53aae3a4bc1ce3f82db5c9bfc237 1640020 libghdl-dev_5.0.1+dfsg-1+b1_ppc64el.deb Checksums-Sha256: 177c8214c7b57ba3b6209bc6122e28dc764e77e15fb1d5a4a7d5a11e12333714 171556 ghdl-common_5.0.1+dfsg-1+b1_ppc64el.deb a15075b714d2f73ec06640dda6746486b0499852f5e547baa532122d5100ab12 118182212 ghdl-gcc-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb d92554e89079b84fae55ba752c57686d733177dfd727059c3820dcea2dba3f51 12147416 ghdl-gcc_5.0.1+dfsg-1+b1_ppc64el.deb dca7b22bc401e8195cd11ab5f5c98f5fef395ba54b42f56de6cf711f5784e25a 10463520 ghdl-llvm-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb b7e7e671165ae244a13457988ed7d8ebac4ac50a97115b00ec88f7fc23d3c5ac 2889264 ghdl-llvm_5.0.1+dfsg-1+b1_ppc64el.deb c7a44d20b7074a4bf4823fdd8aaf086e3956cc151ea03f4eeb93f1f8fb54b758 37776 ghdl-tools-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 14c6fa6ca5b2dcb9ec1f1c6c5f7f9aed7acd9060348de44d118b51bb933efdb2 36016 ghdl-tools_5.0.1+dfsg-1+b1_ppc64el.deb 96e1a5633f4d58b2b7e7c40b1367d9e1d94db0b5ce6b98cbd25a53ffadb740ea 1704 ghdl_5.0.1+dfsg-1+b1_ppc64el.deb e97e7b609286af0dbb4bfc1ee6877799376bd7acba42b9d1eb73a6223c2b153e 3842636 libghdl-5-0-1-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb 19c1ac5d0b044f40bc7621eaca49fe0339d07144d70fd301c637399065d53c7a 1402976 libghdl-5-0-1_5.0.1+dfsg-1+b1_ppc64el.deb a77fcc030a6fe39789845fe3bd385a8c43f62602b570f1351d842b3119fdb66f 1640020 libghdl-dev_5.0.1+dfsg-1+b1_ppc64el.deb Build-Origin: Debian Build-Architecture: ppc64el Build-Date: Sun, 21 Sep 2025 16:08:56 +0000 Build-Path: /build/reproducible-path/ghdl-5.0.1+dfsg Installed-Build-Depends: autoconf (= 2.72-3), autoconf2.69 (= 2.69-3.1), automake (= 1:1.17-4), autopoint (= 0.23.1-1), autotools-dev (= 20240727.1), base-files (= 13.7), base-passwd (= 3.6.7), bash (= 5.2.37-1.1+b1), binutils (= 2.44-3), binutils-common (= 2.44-3), binutils-powerpc64le-linux-gnu (= 2.44-3), bsdextrautils (= 2.40.4-5), bsdutils (= 1:2.40.4-5), build-essential (= 12.12), bzip2 (= 1.0.8-6), clang (= 1:19.0-63), clang-19 (= 1:19.1.7-3), coreutils (= 9.5-1), cpp (= 4:14.2.0-1), cpp-14 (= 14.2.0-19), cpp-14-powerpc64le-linux-gnu (= 14.2.0-19), cpp-powerpc64le-linux-gnu (= 4:14.2.0-1), dash (= 0.5.12-12), debconf (= 1.5.91), debhelper (= 13.24.2), debianutils (= 5.21), dh-ada-library (= 9.9), dh-autoreconf (= 20), dh-strip-nondeterminism (= 1.14.1-2), diffstat (= 1.67-1), diffutils (= 1:3.10-3), dpkg (= 1.22.18), dpkg-dev (= 1.22.18), dwz (= 0.15-1+b1), ed (= 1.21.1-1), file (= 1:5.46-4), findutils (= 4.10.0-3), g++ (= 4:14.2.0-1), g++-14 (= 14.2.0-19), g++-14-powerpc64le-linux-gnu (= 14.2.0-19), g++-powerpc64le-linux-gnu (= 4:14.2.0-1), gawk (= 1:5.2.1-2+b1), gcc (= 4:14.2.0-1), gcc-14 (= 14.2.0-19), gcc-14-base (= 14.2.0-19), gcc-14-powerpc64le-linux-gnu (= 14.2.0-19), gcc-14-source (= 14.2.0-19), gcc-powerpc64le-linux-gnu (= 4:14.2.0-1), gettext (= 0.23.1-1), gettext-base (= 0.23.1-1), gnat (= 14.1), gnat-14 (= 14.2.0-19), gnat-14-powerpc64le-linux-gnu (= 14.2.0-19), grep (= 3.11-4), groff-base (= 1.23.0-7), gzip (= 1.13-1), hostname (= 3.25), init-system-helpers (= 1.68), intltool-debian (= 0.35.0+20060710.6), libacl1 (= 2.3.2-2+b1), libarchive-zip-perl (= 1.68-1), libasan8 (= 14.2.0-19), libatomic1 (= 14.2.0-19), libattr1 (= 1:2.5.2-3), libaudit-common (= 1:4.0.2-2), libaudit1 (= 1:4.0.2-2+b2), libbinutils (= 2.44-3), libblkid1 (= 2.40.4-5), libbrotli1 (= 1.1.0-2+b7), libbsd0 (= 0.12.2-2), libbz2-1.0 (= 1.0.8-6), libc-bin (= 2.41-6), libc-dev-bin (= 2.41-6), libc6 (= 2.41-6), libc6-dev (= 2.41-6), libcap-ng0 (= 0.8.5-4+b1), libcap2 (= 1:2.75-4), libcc1-0 (= 14.2.0-19), libclang-common-19-dev (= 1:19.1.7-3), libclang-cpp19 (= 1:19.1.7-3), libclang1-19 (= 1:19.1.7-3), libcom-err2 (= 1.47.2-1+b1), libcrypt-dev (= 1:4.4.38-1), libcrypt1 (= 1:4.4.38-1), libctf-nobfd0 (= 2.44-3), libctf0 (= 2.44-3), libcurl4t64 (= 8.13.0~rc3-1), libdb5.3t64 (= 5.3.28+dfsg2-9), libdebconfclient0 (= 0.277), libdebhelper-perl (= 13.24.2), libdpkg-perl (= 1.22.18), libedit2 (= 3.1-20250104-1), libelf1t64 (= 0.192-4), libexpat1 (= 2.7.1-1), libffi-dev (= 3.4.7-1), libffi8 (= 3.4.7-1), libfile-stripnondeterminism-perl (= 1.14.1-2), libgc1 (= 1:8.2.8-1), libgcc-14-dev (= 14.2.0-19), libgcc-s1 (= 14.2.0-19), libgdbm-compat4t64 (= 1.24-2), libgdbm6t64 (= 1.24-2), libgmp-dev (= 2:6.3.0+dfsg-3), libgmp10 (= 2:6.3.0+dfsg-3), libgmpxx4ldbl (= 2:6.3.0+dfsg-3), libgnat-14 (= 14.2.0-19), libgnutls30t64 (= 3.8.9-2), libgomp1 (= 14.2.0-19), libgssapi-krb5-2 (= 1.21.3-5), libhogweed6t64 (= 3.10.1-1), libidn2-0 (= 2.3.8-2), libisl-dev (= 0.27-1), libisl23 (= 0.27-1), libitm1 (= 14.2.0-19), libjansson4 (= 2.14-2+b3), libk5crypto3 (= 1.21.3-5), libkeyutils1 (= 1.6.3-4), libkrb5-3 (= 1.21.3-5), libkrb5support0 (= 1.21.3-5), libldap2 (= 2.6.9+dfsg-2), libllvm19 (= 1:19.1.7-3), liblsan0 (= 14.2.0-19), liblzma5 (= 5.6.4-1), libmagic-mgc (= 1:5.46-4), libmagic1t64 (= 1:5.46-4), libmd0 (= 1.1.0-2+b1), libmount1 (= 2.40.4-5), libmpc-dev (= 1.3.1-1+b3), libmpc3 (= 1.3.1-1+b3), libmpfr-dev (= 4.2.2-1), libmpfr6 (= 4.2.2-1), libncurses-dev (= 6.5+20250216-2), libncurses6 (= 6.5+20250216-2), libncursesw6 (= 6.5+20250216-2), libnettle8t64 (= 3.10.1-1), libnghttp2-14 (= 1.64.0-1), libnghttp3-9 (= 1.8.0-1), libobjc-14-dev (= 14.2.0-19), libobjc4 (= 14.2.0-19), libp11-kit0 (= 0.25.5-3), libpam-modules (= 1.7.0-3), libpam-modules-bin (= 1.7.0-3), libpam-runtime (= 1.7.0-3), libpam0g (= 1.7.0-3), libpcre2-8-0 (= 10.45-1), libperl5.40 (= 5.40.1-2), libpfm4 (= 4.13.0+git99-gc5587f9-1), libpipeline1 (= 1.5.8-1), libproc2-0 (= 2:4.0.4-7), libpsl5t64 (= 0.21.2-1.1+b1), libpython3-stdlib (= 3.13.2-2), libpython3.13-minimal (= 3.13.2-3), libpython3.13-stdlib (= 3.13.2-3), libquadmath0 (= 14.2.0-19), libreadline8t64 (= 8.2-6), librtmp1 (= 2.4+20151223.gitfa8646d.1-2+b5), libsasl2-2 (= 2.1.28+dfsg1-9), libsasl2-modules-db (= 2.1.28+dfsg1-9), libseccomp2 (= 2.6.0-2), libselinux1 (= 3.8.1-1), libsframe1 (= 2.44-3), libsigsegv2 (= 2.14-1+b2), libsmartcols1 (= 2.40.4-5), libsqlite3-0 (= 3.46.1-2), libssh2-1t64 (= 1.11.1-1), libssl3t64 (= 3.4.1-1), libstdc++-14-dev (= 14.2.0-19), libstdc++6 (= 14.2.0-19), libsystemd0 (= 257.4-3), libtasn1-6 (= 4.20.0-2), libtext-charwidth-perl (= 0.04-11+b4), libtext-unidecode-perl (= 1.30-3), libtext-wrapi18n-perl (= 0.06-10), libtinfo6 (= 6.5+20250216-2), libtool (= 2.5.4-4), libtsan2 (= 14.2.0-19), libubsan1 (= 14.2.0-19), libuchardet0 (= 0.0.8-1+b2), libudev1 (= 257.4-3), libunistring5 (= 1.3-2), libuuid1 (= 2.40.4-5), libxml-libxml-perl (= 2.0207+dfsg+really+2.0134-5+b1), libxml-namespacesupport-perl (= 1.12-2), libxml-sax-base-perl (= 1.09-3), libxml-sax-perl (= 1.02+dfsg-4), libxml2 (= 2.12.7+dfsg+really2.9.14-0.4), libxml2-dev (= 2.12.7+dfsg+really2.9.14-0.4), libyaml-0-2 (= 0.2.5-2), libz3-4 (= 4.13.3-1), libz3-dev (= 4.13.3-1), libzstd1 (= 1.5.7+dfsg-1), linux-libc-dev (= 6.12.20-1), llvm (= 1:19.0-63), llvm-19 (= 1:19.1.7-3), llvm-19-dev (= 1:19.1.7-3), llvm-19-linker-tools (= 1:19.1.7-3), llvm-19-runtime (= 1:19.1.7-3), llvm-19-tools (= 1:19.1.7-3), llvm-dev (= 1:19.0-63), llvm-runtime (= 1:19.0-63), lsb-release (= 12.1-1), m4 (= 1.4.19-7), make (= 4.4.1-1), man-db (= 2.13.0-1), mawk (= 1.3.4.20250131-1), media-types (= 13.0.0), ncurses-base (= 6.5+20250216-2), ncurses-bin (= 6.5+20250216-2), netbase (= 6.5), openssl-provider-legacy (= 3.4.1-1), patch (= 2.7.6-7), patchutils (= 0.4.2-1), perl (= 5.40.1-2), perl-base (= 5.40.1-2), perl-modules-5.40 (= 5.40.1-2), po-debconf (= 1.0.21+nmu1), procps (= 2:4.0.4-7), python3 (= 3.13.2-2), python3-minimal (= 3.13.2-2), python3-pygments (= 2.18.0+dfsg-2), python3-yaml (= 6.0.2-1+b2), python3.13 (= 3.13.2-3), python3.13-minimal (= 3.13.2-3), quilt (= 0.68-1), readline-common (= 8.2-6), rpcsvc-proto (= 1.4.3-1), sed (= 4.9-2), sensible-utils (= 0.0.24), sharutils (= 1:4.15.2-11), sysvinit-utils (= 3.14-4), tar (= 1.35+dfsg-3.1), tex-common (= 6.19), texinfo (= 7.1.1-1), texinfo-lib (= 7.1.1-1+b1), time (= 1.9-0.2), tzdata (= 2025b-1), ucf (= 3.0050), util-linux (= 2.40.4-5), xz-utils (= 5.6.4-1), zlib1g (= 1:1.3.dfsg+really1.3.1-1+b1), zlib1g-dev (= 1:1.3.dfsg+really1.3.1-1+b1) Environment: DEB_BUILD_OPTIONS="parallel=8" LANG="C.UTF-8" LC_COLLATE="C.UTF-8" LC_CTYPE="C.UTF-8" SOURCE_DATE_EPOCH="1743278974" +------------------------------------------------------------------------------+ | Package contents Sun, 21 Sep 2025 16:09:02 +0000 | +------------------------------------------------------------------------------+ ghdl-common_5.0.1+dfsg-1+b1_ppc64el.deb --------------------------------------- new Debian package, version 2.0. size 171556 bytes: control archive=2400 bytes. 729 bytes, 18 lines control 5468 bytes, 70 lines md5sums Package: ghdl-common Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 2449 Breaks: ghdl (<< 0.37+dfsg2) Replaces: ghdl (<< 0.37+dfsg2) Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (common files) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains common files for the GHDL compiler packages. drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/bin/ -rwxr-xr-x root/root 393 2024-08-09 18:43 ./usr/bin/ghdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/include/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/include/ghdl/ -rw-r--r-- root/root 36958 2025-03-01 16:49 ./usr/lib/ghdl/include/ghdl/vhpi_user.h -rw-r--r-- root/root 45550 2025-03-01 16:49 ./usr/lib/ghdl/include/ghdl/vpi_user.h drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/ -rw-r--r-- root/root 52648 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/math_complex-body.vhdl -rw-r--r-- root/root 34106 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/math_complex.vhdl -rw-r--r-- root/root 64760 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/math_real-body.vhdl -rw-r--r-- root/root 21134 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/math_real.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v87/ -rw-r--r-- root/root 57337 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v87/numeric_bit-body.vhdl -rw-r--r-- root/root 32919 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v87/numeric_bit.vhdl -rw-r--r-- root/root 83364 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v87/numeric_std-body.vhdl -rw-r--r-- root/root 34334 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v87/numeric_std.vhdl -rw-r--r-- root/root 32350 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v87/std_logic_1164-body.vhdl -rw-r--r-- root/root 9402 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v87/std_logic_1164.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v93/ -rw-r--r-- root/root 57057 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v93/numeric_bit-body.vhdl -rw-r--r-- root/root 32879 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v93/numeric_bit.vhdl -rw-r--r-- root/root 83082 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v93/numeric_std-body.vhdl -rw-r--r-- root/root 34294 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v93/numeric_std.vhdl -rw-r--r-- root/root 32260 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v93/std_logic_1164-body.vhdl -rw-r--r-- root/root 9390 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee/v93/std_logic_1164.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/ -rw-r--r-- root/root 2614 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/fixed_float_types.vhdl -rw-r--r-- root/root 216320 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg-body.vhdl -rw-r--r-- root/root 66781 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/fixed_generic_pkg.vhdl -rw-r--r-- root/root 2249 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/fixed_pkg.vhdl -rw-r--r-- root/root 230768 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/float_generic_pkg-body.vhdl -rw-r--r-- root/root 51264 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/float_generic_pkg.vhdl -rw-r--r-- root/root 2542 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/float_pkg.vhdl -rw-r--r-- root/root 102 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/ieee_bit_context.vhdl -rw-r--r-- root/root 133 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/ieee_std_context.vhdl -rw-r--r-- root/root 52648 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/math_complex-body.vhdl -rw-r--r-- root/root 34106 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/math_complex.vhdl -rw-r--r-- root/root 64760 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/math_real-body.vhdl -rw-r--r-- root/root 21134 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/math_real.vhdl -rw-r--r-- root/root 93982 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/numeric_bit-body.vhdl -rw-r--r-- root/root 63541 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/numeric_bit.vhdl -rw-r--r-- root/root 17135 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned-body.vhdl -rw-r--r-- root/root 25675 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/numeric_bit_unsigned.vhdl -rw-r--r-- root/root 139714 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/numeric_std-body.vhdl -rw-r--r-- root/root 75853 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/numeric_std.vhdl -rw-r--r-- root/root 18190 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned-body.vhdl -rw-r--r-- root/root 25976 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/numeric_std_unsigned.vhdl -rw-r--r-- root/root 57019 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/std_logic_1164-body.vhdl -rw-r--r-- root/root 14971 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/std_logic_1164.vhdl -rw-r--r-- root/root 62 2025-03-29 20:09 ./usr/lib/ghdl/src/ieee2008/std_logic_textio.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/std/ -rw-r--r-- root/root 2129 2025-03-29 20:09 ./usr/lib/ghdl/src/std/env-body.vhdl -rw-r--r-- root/root 1009 2025-03-29 20:09 ./usr/lib/ghdl/src/std/env.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v08/ -rw-r--r-- root/root 2696 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v08/standard.vhdl -rw-r--r-- root/root 41995 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v08/textio-body.vhdl -rw-r--r-- root/root 7000 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v08/textio.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v87/ -rw-r--r-- root/root 1543 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v87/standard.vhdl -rw-r--r-- root/root 42701 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v87/textio-body.vhdl -rw-r--r-- root/root 7088 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v87/textio.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v93/ -rw-r--r-- root/root 2460 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v93/standard.vhdl -rw-r--r-- root/root 42669 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v93/textio-body.vhdl -rw-r--r-- root/root 7088 2025-03-29 20:09 ./usr/lib/ghdl/src/std/v93/textio.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/synopsys/ -rw-r--r-- root/root 70557 2025-03-29 20:09 ./usr/lib/ghdl/src/synopsys/std_logic_arith.vhdl -rw-r--r-- root/root 27741 2025-03-29 20:09 ./usr/lib/ghdl/src/synopsys/std_logic_misc-body.vhdl -rw-r--r-- root/root 6037 2025-03-29 20:09 ./usr/lib/ghdl/src/synopsys/std_logic_misc.vhdl -rw-r--r-- root/root 12622 2025-03-29 20:09 ./usr/lib/ghdl/src/synopsys/std_logic_signed.vhdl -rw-r--r-- root/root 17971 2025-03-29 20:09 ./usr/lib/ghdl/src/synopsys/std_logic_textio.vhdl -rw-r--r-- root/root 12038 2025-03-29 20:09 ./usr/lib/ghdl/src/synopsys/std_logic_unsigned.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/synopsys/v08/ -rw-r--r-- root/root 27997 2025-03-29 20:09 ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc-body.vhdl -rw-r--r-- root/root 6077 2025-03-29 20:09 ./usr/lib/ghdl/src/synopsys/v08/std_logic_misc.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/src/upf/ -rw-r--r-- root/root 483 2025-03-29 20:09 ./usr/lib/ghdl/src/upf/upf-body.vhdl -rw-r--r-- root/root 388 2025-03-29 20:09 ./usr/lib/ghdl/src/upf/upf.vhdl drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ghdl-common/ -rw-r--r-- root/root 8615 2025-03-01 16:49 ./usr/share/doc/ghdl-common/NEWS.md.gz -rw-r--r-- root/root 6126 2025-03-01 16:49 ./usr/share/doc/ghdl-common/README.md.gz -rw-r--r-- root/root 5194 2025-03-29 20:09 ./usr/share/doc/ghdl-common/changelog.Debian.gz -rw-r--r-- root/root 215 2025-03-29 20:09 ./usr/share/doc/ghdl-common/changelog.Debian.ppc64el.gz -rw-r--r-- root/root 49136 2025-03-16 18:20 ./usr/share/doc/ghdl-common/copyright drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/man/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/man/man1/ -rw-r--r-- root/root 1929 2025-03-29 20:09 ./usr/share/man/man1/ghdl.1.gz ghdl-gcc-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb ------------------------------------------- new Debian package, version 2.0. size 118182212 bytes: control archive=720 bytes. 528 bytes, 12 lines control 424 bytes, 4 lines md5sums Package: ghdl-gcc-dbgsym Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Auto-Built-Package: debug-symbols Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 164389 Depends: ghdl-gcc (= 5.0.1+dfsg-1+b1) Section: debug Priority: optional Description: debug symbols for ghdl-gcc Build-Ids: 2b51f29332425ffcdabcbc0faa5cc02d522bbd9a 38f546664149bd0e2defd58f2488ff91a7c819be 51ab90ee2b99eab4c80c50fd1d68128b919313d2 db222c3d1ca9bf95f172703d460b5791259ca70a drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/2b/ -rw-r--r-- root/root 158456568 2025-03-29 20:09 ./usr/lib/debug/.build-id/2b/51f29332425ffcdabcbc0faa5cc02d522bbd9a.debug drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/38/ -rw-r--r-- root/root 40240 2025-03-29 20:09 ./usr/lib/debug/.build-id/38/f546664149bd0e2defd58f2488ff91a7c819be.debug drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/51/ -rw-r--r-- root/root 19232 2025-03-29 20:09 ./usr/lib/debug/.build-id/51/ab90ee2b99eab4c80c50fd1d68128b919313d2.debug drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/db/ -rw-r--r-- root/root 9803032 2025-03-29 20:09 ./usr/lib/debug/.build-id/db/222c3d1ca9bf95f172703d460b5791259ca70a.debug drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/share/doc/ghdl-gcc-dbgsym -> ghdl-gcc ghdl-gcc_5.0.1+dfsg-1+b1_ppc64el.deb ------------------------------------ new Debian package, version 2.0. size 12147416 bytes: control archive=3052 bytes. 899 bytes, 18 lines control 7260 bytes, 91 lines md5sums 197 bytes, 5 lines * postinst #!/bin/sh 197 bytes, 5 lines * postrm #!/bin/sh 197 bytes, 5 lines * preinst #!/bin/sh 197 bytes, 5 lines * prerm #!/bin/sh Package: ghdl-gcc Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 53286 Depends: ghdl-common (= 5.0.1+dfsg-1+b1), libc6 (>= 2.38), libgmp10 (>= 2:6.3.0+dfsg), libgnat-14 (>= 14.2.0), libisl23 (>= 0.15), libmpc3 (>= 1.1.0), libmpfr6 (>= 3.1.3), zlib1g (>= 1:1.1.4), gcc, zlib1g-dev Built-Using: gcc-14 (= 14.2.0-19) Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (GCC backend) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the compiler with the GCC backend. drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/bin/ -rwxr-xr-x root/root 4930936 2025-03-29 20:09 ./usr/bin/ghdl-gcc drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/libexec/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/libexec/gcc/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/ -rwxr-xr-x root/root 40846848 2025-03-29 20:09 ./usr/lib/ghdl/gcc/libexec/gcc/powerpc64le-linux-gnu/14/ghdl1 -rw-r--r-- root/root 67224 2025-03-29 20:09 ./usr/lib/ghdl/gcc/libghdlvpi.so -rw-r--r-- root/root 67608 2025-03-29 20:09 ./usr/lib/ghdl/gcc/libghw.so drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ -rw-r--r-- root/root 83 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/grt-exec.lst -rw-r--r-- root/root 53 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/grt-shared.lst -rw-r--r-- root/root 46 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/grt.lst -rw-r--r-- root/root 926 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/grt.ver drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ -rw-r--r-- root/root 5008 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_float_types.o -rw-r--r-- root/root 902960 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg-body.o -rw-r--r-- root/root 3896 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_generic_pkg.o -rw-r--r-- root/root 2488 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/fixed_pkg.o -rw-r--r-- root/root 824144 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg-body.o -rw-r--r-- root/root 7672 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_generic_pkg.o -rw-r--r-- root/root 3064 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/float_pkg.o -rw-r--r-- root/root 5340 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee-obj08.cf -rw-r--r-- root/root 1112 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_bit_context.o -rw-r--r-- root/root 1112 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/ieee_std_context.o -rw-r--r-- root/root 117752 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex-body.o -rw-r--r-- root/root 8784 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_complex.o -rw-r--r-- root/root 114704 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real-body.o -rw-r--r-- root/root 12000 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/math_real.o -rw-r--r-- root/root 376288 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit-body.o -rw-r--r-- root/root 20256 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit.o -rw-r--r-- root/root 61336 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned-body.o -rw-r--r-- root/root 3544 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_bit_unsigned.o -rw-r--r-- root/root 550136 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std-body.o -rw-r--r-- root/root 8656 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std.o -rw-r--r-- root/root 59416 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned-body.o -rw-r--r-- root/root 3960 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/numeric_std_unsigned.o -rw-r--r-- root/root 191920 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164-body.o -rw-r--r-- root/root 7904 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_1164.o -rw-r--r-- root/root 228080 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_arith.o -rw-r--r-- root/root 42160 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc-body.o -rw-r--r-- root/root 6080 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_misc.o -rw-r--r-- root/root 38032 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_signed.o -rw-r--r-- root/root 2392 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_textio.o -rw-r--r-- root/root 35296 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/std_logic_unsigned.o -rw-r--r-- root/root 3264 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf-body.o -rw-r--r-- root/root 2272 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v08/upf.o drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/ -rw-r--r-- root/root 2522 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/ieee-obj87.cf -rw-r--r-- root/root 237712 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit-body.o -rw-r--r-- root/root 14248 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_bit.o -rw-r--r-- root/root 369864 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std-body.o -rw-r--r-- root/root 6952 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/numeric_std.o -rw-r--r-- root/root 88488 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164-body.o -rw-r--r-- root/root 8736 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_1164.o -rw-r--r-- root/root 225480 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_arith.o -rw-r--r-- root/root 59152 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc-body.o -rw-r--r-- root/root 6072 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_misc.o -rw-r--r-- root/root 38032 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_signed.o -rw-r--r-- root/root 86656 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_textio.o -rw-r--r-- root/root 35288 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/std_logic_unsigned.o -rw-r--r-- root/root 3264 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf-body.o -rw-r--r-- root/root 2272 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v87/upf.o drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/ -rw-r--r-- root/root 3156 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/ieee-obj93.cf -rw-r--r-- root/root 117744 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex-body.o -rw-r--r-- root/root 8784 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_complex.o -rw-r--r-- root/root 114728 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real-body.o -rw-r--r-- root/root 11992 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/math_real.o -rw-r--r-- root/root 250584 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit-body.o -rw-r--r-- root/root 19856 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_bit.o -rw-r--r-- root/root 382744 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std-body.o -rw-r--r-- root/root 6952 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/numeric_std.o -rw-r--r-- root/root 95512 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164-body.o -rw-r--r-- root/root 8736 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_1164.o -rw-r--r-- root/root 228152 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_arith.o -rw-r--r-- root/root 59152 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc-body.o -rw-r--r-- root/root 6072 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_misc.o -rw-r--r-- root/root 38032 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_signed.o -rw-r--r-- root/root 86752 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_textio.o -rw-r--r-- root/root 35288 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/std_logic_unsigned.o -rw-r--r-- root/root 3264 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf-body.o -rw-r--r-- root/root 2272 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/ieee/v93/upf.o -rw-r--r-- root/root 140106 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/libbacktrace.a -rw-r--r-- root/root 1645026 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/libgrt.a lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/src -> ../../src drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v08/ -rw-r--r-- root/root 4720 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v08/env-body.o -rw-r--r-- root/root 2264 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v08/env.o -rw-r--r-- root/root 590 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v08/std-obj08.cf -rw-r--r-- root/root 58832 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v08/std_standard.o -rw-r--r-- root/root 124704 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v08/textio-body.o -rw-r--r-- root/root 5544 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v08/textio.o drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v87/ -rw-r--r-- root/root 307 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v87/std-obj87.cf -rw-r--r-- root/root 28832 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v87/std_standard.o -rw-r--r-- root/root 90480 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v87/textio-body.o -rw-r--r-- root/root 5528 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v87/textio.o drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v93/ -rw-r--r-- root/root 307 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v93/std-obj93.cf -rw-r--r-- root/root 46936 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v93/std_standard.o -rw-r--r-- root/root 93496 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v93/textio-body.o -rw-r--r-- root/root 5544 2025-03-29 20:09 ./usr/lib/ghdl/gcc/vhdl/std/v93/textio.o drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/share/doc/ghdl-gcc -> ghdl-common drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/lintian/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 159 2023-09-30 23:16 ./usr/share/lintian/overrides/ghdl-gcc drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/man/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/man/man1/ lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/share/man/man1/ghdl-gcc.1.gz -> ghdl.1.gz ghdl-llvm-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb -------------------------------------------- new Debian package, version 2.0. size 10463520 bytes: control archive=664 bytes. 489 bytes, 12 lines control 318 bytes, 3 lines md5sums Package: ghdl-llvm-dbgsym Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Auto-Built-Package: debug-symbols Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 23129 Depends: ghdl-llvm (= 5.0.1+dfsg-1+b1) Section: debug Priority: optional Description: debug symbols for ghdl-llvm Build-Ids: 4edfe2becf557a11dc431f56d00187c44f3356cc 93388ee89ce9043f07aeb523e475d1b524a1ff70 a761e5e6c0f28e3c55c2482a5fa0648e126bcf4a drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/4e/ -rw-r--r-- root/root 19232 2025-03-29 20:09 ./usr/lib/debug/.build-id/4e/dfe2becf557a11dc431f56d00187c44f3356cc.debug drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/93/ -rw-r--r-- root/root 12754352 2025-03-29 20:09 ./usr/lib/debug/.build-id/93/388ee89ce9043f07aeb523e475d1b524a1ff70.debug drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/a7/ -rw-r--r-- root/root 10896480 2025-03-29 20:09 ./usr/lib/debug/.build-id/a7/61e5e6c0f28e3c55c2482a5fa0648e126bcf4a.debug drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/share/doc/ghdl-llvm-dbgsym -> ghdl-llvm ghdl-llvm_5.0.1+dfsg-1+b1_ppc64el.deb ------------------------------------- new Debian package, version 2.0. size 2889264 bytes: control archive=3088 bytes. 823 bytes, 17 lines control 7185 bytes, 89 lines md5sums 198 bytes, 5 lines * postinst #!/bin/sh 198 bytes, 5 lines * postrm #!/bin/sh 198 bytes, 5 lines * preinst #!/bin/sh 198 bytes, 5 lines * prerm #!/bin/sh Package: ghdl-llvm Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 19003 Depends: ghdl-common (= 5.0.1+dfsg-1+b1), libc6 (>= 2.38), libgcc-s1 (>= 4.2), libgnat-14 (>= 14.2.0), libllvm19 (>= 1:19.1.0), libstdc++6 (>= 11), gcc, zlib1g-dev Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (LLVM backend) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the compiler with the LLVM backend. drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/bin/ -rwxr-xr-x root/root 5849456 2025-03-29 20:09 ./usr/bin/ghdl-llvm drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/ -rwxr-xr-x root/root 5652200 2025-03-29 20:09 ./usr/lib/ghdl/llvm/ghdl1-llvm -rw-r--r-- root/root 67224 2025-03-29 20:09 ./usr/lib/ghdl/llvm/libghdlvpi.so drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ -rw-r--r-- root/root 83 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/grt-exec.lst -rw-r--r-- root/root 53 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/grt-shared.lst -rw-r--r-- root/root 29 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/grt.lst -rw-r--r-- root/root 926 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/grt.ver drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ -rw-r--r-- root/root 5088 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_float_types.o -rw-r--r-- root/root 824488 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg-body.o -rw-r--r-- root/root 2808 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_generic_pkg.o -rw-r--r-- root/root 3376 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/fixed_pkg.o -rw-r--r-- root/root 707464 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg-body.o -rw-r--r-- root/root 5256 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_generic_pkg.o -rw-r--r-- root/root 3640 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/float_pkg.o -rw-r--r-- root/root 5340 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee-obj08.cf -rw-r--r-- root/root 640 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_bit_context.o -rw-r--r-- root/root 640 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/ieee_std_context.o -rw-r--r-- root/root 100760 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex-body.o -rw-r--r-- root/root 9088 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_complex.o -rw-r--r-- root/root 85120 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real-body.o -rw-r--r-- root/root 11608 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/math_real.o -rw-r--r-- root/root 348312 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit-body.o -rw-r--r-- root/root 23384 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit.o -rw-r--r-- root/root 62056 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned-body.o -rw-r--r-- root/root 4552 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_bit_unsigned.o -rw-r--r-- root/root 485664 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std-body.o -rw-r--r-- root/root 9760 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std.o -rw-r--r-- root/root 60360 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned-body.o -rw-r--r-- root/root 4800 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/numeric_std_unsigned.o -rw-r--r-- root/root 178288 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164-body.o -rw-r--r-- root/root 7952 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_1164.o -rw-r--r-- root/root 220288 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_arith.o -rw-r--r-- root/root 48200 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc-body.o -rw-r--r-- root/root 6232 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_misc.o -rw-r--r-- root/root 37672 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_signed.o -rw-r--r-- root/root 3584 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_textio.o -rw-r--r-- root/root 35264 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/std_logic_unsigned.o -rw-r--r-- root/root 4248 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf-body.o -rw-r--r-- root/root 3448 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v08/upf.o drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/ -rw-r--r-- root/root 2522 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/ieee-obj87.cf -rw-r--r-- root/root 214176 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit-body.o -rw-r--r-- root/root 16632 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_bit.o -rw-r--r-- root/root 313280 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std-body.o -rw-r--r-- root/root 8168 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/numeric_std.o -rw-r--r-- root/root 87720 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164-body.o -rw-r--r-- root/root 9296 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_1164.o -rw-r--r-- root/root 216848 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_arith.o -rw-r--r-- root/root 66816 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc-body.o -rw-r--r-- root/root 6224 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_misc.o -rw-r--r-- root/root 37672 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_signed.o -rw-r--r-- root/root 78472 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_textio.o -rw-r--r-- root/root 35264 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/std_logic_unsigned.o -rw-r--r-- root/root 4248 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf-body.o -rw-r--r-- root/root 3448 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v87/upf.o drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/ -rw-r--r-- root/root 3156 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/ieee-obj93.cf -rw-r--r-- root/root 100744 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex-body.o -rw-r--r-- root/root 9080 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_complex.o -rw-r--r-- root/root 85104 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real-body.o -rw-r--r-- root/root 11608 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/math_real.o -rw-r--r-- root/root 226280 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit-body.o -rw-r--r-- root/root 23152 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_bit.o -rw-r--r-- root/root 325368 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std-body.o -rw-r--r-- root/root 8168 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/numeric_std.o -rw-r--r-- root/root 93976 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164-body.o -rw-r--r-- root/root 9296 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_1164.o -rw-r--r-- root/root 220360 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_arith.o -rw-r--r-- root/root 66816 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc-body.o -rw-r--r-- root/root 6224 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_misc.o -rw-r--r-- root/root 37672 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_signed.o -rw-r--r-- root/root 77520 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_textio.o -rw-r--r-- root/root 35264 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/std_logic_unsigned.o -rw-r--r-- root/root 4248 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf-body.o -rw-r--r-- root/root 3448 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/ieee/v93/upf.o -rw-r--r-- root/root 1643468 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/libgrt.a lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/src -> ../../src drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v08/ -rw-r--r-- root/root 6096 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v08/env-body.o -rw-r--r-- root/root 3432 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v08/env.o -rw-r--r-- root/root 590 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v08/std-obj08.cf -rw-r--r-- root/root 43240 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v08/std_standard.o -rw-r--r-- root/root 115624 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v08/textio-body.o -rw-r--r-- root/root 5984 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v08/textio.o drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v87/ -rw-r--r-- root/root 307 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v87/std-obj87.cf -rw-r--r-- root/root 20240 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v87/std_standard.o -rw-r--r-- root/root 86776 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v87/textio-body.o -rw-r--r-- root/root 5984 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v87/textio.o drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v93/ -rw-r--r-- root/root 307 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v93/std-obj93.cf -rw-r--r-- root/root 29560 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v93/std_standard.o -rw-r--r-- root/root 87800 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v93/textio-body.o -rw-r--r-- root/root 5984 2025-03-29 20:09 ./usr/lib/ghdl/llvm/vhdl/std/v93/textio.o drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/share/doc/ghdl-llvm -> ghdl-common drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/lintian/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 67 2023-09-30 23:16 ./usr/share/lintian/overrides/ghdl-llvm drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/man/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/man/man1/ lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/share/man/man1/ghdl-llvm.1.gz -> ghdl.1.gz ghdl-tools-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb --------------------------------------------- new Debian package, version 2.0. size 37776 bytes: control archive=552 bytes. 407 bytes, 12 lines control 106 bytes, 1 lines md5sums Package: ghdl-tools-dbgsym Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Auto-Built-Package: debug-symbols Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 94 Depends: ghdl-tools (= 5.0.1+dfsg-1+b1) Section: debug Priority: optional Description: debug symbols for ghdl-tools Build-Ids: 6c74fd43a39bd14ae6fd093266c6766453a06142 drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/6c/ -rw-r--r-- root/root 85368 2025-03-29 20:09 ./usr/lib/debug/.build-id/6c/74fd43a39bd14ae6fd093266c6766453a06142.debug drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/share/doc/ghdl-tools-dbgsym -> ghdl-tools ghdl-tools_5.0.1+dfsg-1+b1_ppc64el.deb -------------------------------------- new Debian package, version 2.0. size 36016 bytes: control archive=1020 bytes. 979 bytes, 22 lines control 351 bytes, 5 lines md5sums Package: ghdl-tools Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 132 Depends: libc6 (>= 2.34) Breaks: gtkwave (<< 3.3.110~) Replaces: gtkwave (<< 3.3.110~) Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (tools) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains ghwdump, a simple command line tool to extract information from GHW files produced by GHDL and display it as text. It is mainly intended for use in debugging and is not needed for regular use of GHDL, where a wavefile visualization tool like gtkwave would normally be used. drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/bin/ -rwxr-xr-x root/root 67848 2025-03-29 20:09 ./usr/bin/ghwdump drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ghdl-tools/ -rw-r--r-- root/root 5194 2025-03-29 20:09 ./usr/share/doc/ghdl-tools/changelog.Debian.gz -rw-r--r-- root/root 215 2025-03-29 20:09 ./usr/share/doc/ghdl-tools/changelog.Debian.ppc64el.gz -rw-r--r-- root/root 49136 2025-03-16 18:20 ./usr/share/doc/ghdl-tools/copyright drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/man/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/man/man1/ -rw-r--r-- root/root 415 2025-03-29 20:09 ./usr/share/man/man1/ghwdump.1.gz ghdl_5.0.1+dfsg-1+b1_ppc64el.deb -------------------------------- new Debian package, version 2.0. size 1704 bytes: control archive=1276 bytes. 1624 bytes, 33 lines control 0 bytes, 0 lines md5sums 193 bytes, 5 lines * postinst #!/bin/sh 193 bytes, 5 lines * postrm #!/bin/sh 193 bytes, 5 lines * preinst #!/bin/sh 193 bytes, 5 lines * prerm #!/bin/sh Package: ghdl Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 10 Depends: ghdl-common (= 5.0.1+dfsg-1+b1), ghdl-gcc | ghdl-llvm Suggests: gtkwave Section: electronics Priority: optional Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . GHDL offers three machine code generation backends: one based on GCC, one using the LLVM compiler suite and a GHDL specific one called mcode. These are available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively. Both the GCC and LLVM backends create highly optimized code for excellent simulation performance while simulations compiled with the GCC backend also allow coverage testing using gcov. The mcode backend creates less performant code but makes up for it with much faster compilation. It is therefore preferable for smaller projects without large or long running simulations. . Multiple backends can be installed at the same time and selected by either invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or by providing a GHDL_BACKEND environment variable (containing gcc, llvm or mcode) while invoking ghdl. . This package is a dependency package that will make sure at least one backend is installed. drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/share/doc/ghdl -> ghdl-common libghdl-5-0-1-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb ------------------------------------------------ new Debian package, version 2.0. size 3842636 bytes: control archive=572 bytes. 435 bytes, 13 lines control 106 bytes, 1 lines md5sums Package: libghdl-5-0-1-dbgsym Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Auto-Built-Package: debug-symbols Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 4733 Depends: libghdl-5-0-1 (= 5.0.1+dfsg-1+b1) Section: debug Priority: optional Multi-Arch: same Description: debug symbols for libghdl-5-0-1 Build-Ids: c0a751fbcac800f596e3dff5784dd7813c1f5e4d drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/debug/.build-id/c0/ -rw-r--r-- root/root 4836064 2025-03-29 20:09 ./usr/lib/debug/.build-id/c0/a751fbcac800f596e3dff5784dd7813c1f5e4d.debug drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/share/doc/libghdl-5-0-1-dbgsym -> libghdl-5-0-1 libghdl-5-0-1_5.0.1+dfsg-1+b1_ppc64el.deb ----------------------------------------- new Debian package, version 2.0. size 1402976 bytes: control archive=1012 bytes. 793 bytes, 19 lines control 325 bytes, 4 lines md5sums 44 bytes, 1 lines shlibs 68 bytes, 2 lines triggers Package: libghdl-5-0-1 Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 6094 Depends: libc6 (>= 2.38), libgcc-s1 (>= 3.0), libgnat-14 (>= 14.2.0) Built-Using: gcc-14 (= 14.2.0-19) Section: electronics Priority: optional Multi-Arch: same Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (shared library) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the shared library to access GHDL internals. drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/powerpc64le-linux-gnu/ -rw-r--r-- root/root 6173464 2025-03-29 20:09 ./usr/lib/powerpc64le-linux-gnu/libghdl-5_0_1.so drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/libghdl-5-0-1/ -rw-r--r-- root/root 5198 2025-03-29 20:09 ./usr/share/doc/libghdl-5-0-1/changelog.Debian.gz -rw-r--r-- root/root 215 2025-03-29 20:09 ./usr/share/doc/libghdl-5-0-1/changelog.Debian.ppc64el.gz -rw-r--r-- root/root 49136 2025-03-16 18:20 ./usr/share/doc/libghdl-5-0-1/copyright libghdl-dev_5.0.1+dfsg-1+b1_ppc64el.deb --------------------------------------- new Debian package, version 2.0. size 1640020 bytes: control archive=964 bytes. 786 bytes, 19 lines control 436 bytes, 6 lines md5sums Package: libghdl-dev Source: ghdl (5.0.1+dfsg-1) Version: 5.0.1+dfsg-1+b1 Architecture: ppc64el Maintainer: Debian Electronics Team Installed-Size: 12539 Depends: libghdl-5-0-1 (= 5.0.1+dfsg-1+b1) Built-Using: gcc-14 (= 14.2.0-19) Section: electronics Priority: optional Multi-Arch: same Homepage: https://github.com/ghdl/ghdl Description: VHDL compiler/simulator (library development files) GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation. . This package contains the files required to compile programs using libghdl. drwxr-xr-x root/root 0 2025-03-29 20:09 ./ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/include/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/include/ghdl/ -rw-r--r-- root/root 7284 2025-03-01 16:49 ./usr/include/ghdl/synth.h -rw-r--r-- root/root 2391 2025-03-01 16:49 ./usr/include/ghdl/synth_gates.h drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/lib/powerpc64le-linux-gnu/ -rw-r--r-- root/root 12760448 2025-03-29 20:09 ./usr/lib/powerpc64le-linux-gnu/libghdl.a lrwxrwxrwx root/root 0 2025-03-29 20:09 ./usr/lib/powerpc64le-linux-gnu/libghdl.so -> libghdl-5_0_1.so drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/ drwxr-xr-x root/root 0 2025-03-29 20:09 ./usr/share/doc/libghdl-dev/ -rw-r--r-- root/root 5194 2025-03-29 20:09 ./usr/share/doc/libghdl-dev/changelog.Debian.gz -rw-r--r-- root/root 215 2025-03-29 20:09 ./usr/share/doc/libghdl-dev/changelog.Debian.ppc64el.gz -rw-r--r-- root/root 49136 2025-03-16 18:20 ./usr/share/doc/libghdl-dev/copyright +------------------------------------------------------------------------------+ | Post Build Sun, 21 Sep 2025 16:09:09 +0000 | +------------------------------------------------------------------------------+ +------------------------------------------------------------------------------+ | Cleanup Sun, 21 Sep 2025 16:09:09 +0000 | +------------------------------------------------------------------------------+ Purging /build/reproducible-path Not cleaning session: cloned chroot in use +------------------------------------------------------------------------------+ | Summary Sun, 21 Sep 2025 16:09:16 +0000 | +------------------------------------------------------------------------------+ Build Architecture: ppc64el Build Type: any Build-Space: 7646220 Build-Time: 2619 Distribution: unstable Host Architecture: ppc64el Install-Time: 4 Job: /srv/rebuilderd/tmp/rebuilderd503pmW/inputs/ghdl_5.0.1+dfsg-1.dsc Machine Architecture: ppc64el Package: ghdl Package-Time: 2638 Source-Version: 5.0.1+dfsg-1 Space: 7646220 Status: successful Version: 5.0.1+dfsg-1+b1 -------------------------------------------------------------------------------- Finished at 2025-09-21T16:08:58Z Build needed 00:43:58, 7646220k disk space build artifacts stored in /srv/rebuilderd/tmp/rebuilderd503pmW/out checking ghdl-common_5.0.1+dfsg-1+b1_ppc64el.deb: size... md5... sha256... sha1... all OK checking ghdl-gcc-dbgsym_5.0.1+dfsg-1+b1_ppc64el.deb: size... sha256... md5... sha1... all OK checking ghdl-gcc_5.0.1+dfsg-1+b1_ppc64el.deb: size differs for ghdl-gcc_5.0.1+dfsg-1+b1_ppc64el.deb